NAME

buflp from sky130_fd_sc_lp

DESCRIPTION

Buffer, Low Power.

FUNCTION

VERILOG

"sky130_fd_sc_lp__buflp"
/*
*/


`ifndef SKY130_FD_SC_LP__BUFLP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__BUFLP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__buflp (
    X,
    A
);

    // Module ports
    output X;
    input  A;

    // Local signals
    wire buf0_out_X;

    //  Name  Output      Other arguments
    buf buf0 (buf0_out_X, A              );
    buf buf1 (X         , buf0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__BUFLP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__buflp_m

not to scale



.subckt sky130_fd_sc_lp__buflp_m A VGND VNB VPB VPWR X
X0 a_120_120# a_90_94# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 X a_90_94# a_120_120# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_304_490# A a_90_94# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR A a_304_490# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 X a_90_94# a_120_490# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND A a_278_120# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_120_490# a_90_94# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_278_120# A a_90_94# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__buflp_0

not to scale



.subckt sky130_fd_sc_lp__buflp_0 A VGND VNB VPB VPWR X
X0 VPWR a_36_120# a_315_446# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_36_120# A a_128_490# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_128_490# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_36_120# A a_123_120# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_315_446# a_36_120# X VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VGND a_36_120# a_287_120# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_123_120# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_287_120# a_36_120# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__buflp_1

not to scale



.subckt sky130_fd_sc_lp__buflp_1 A VGND VNB VPB VPWR X
X0 a_116_367# a_86_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_308_131# A a_86_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A a_308_403# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_116_47# a_86_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 X a_86_21# a_116_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_86_21# a_116_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_308_403# A a_86_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND A a_308_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__buflp_2

not to scale


.subckt sky130_fd_sc_lp__buflp_2 A VGND VNB VPB VPWR X
X0 a_128_47# a_98_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_509_377# A a_98_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_516_47# A a_98_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_128_47# a_98_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A a_516_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR A a_509_377# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 VPWR a_98_21# a_128_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_128_367# a_98_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND a_98_21# a_128_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_98_21# a_128_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_128_367# a_98_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 X a_98_21# a_128_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__buflp_4

not to scale


.subckt sky130_fd_sc_lp__buflp_4 A VGND VNB VPB VPWR X
X0 X a_84_21# a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR A a_886_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_114_47# a_84_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND a_84_21# a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 X a_84_21# a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_84_21# a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_886_47# A a_84_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_114_367# a_84_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_114_47# a_84_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR a_84_21# a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 X a_84_21# a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_886_367# A a_84_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_114_367# a_84_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VPWR a_84_21# a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND a_84_21# a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_114_47# a_84_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND A a_886_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_114_47# a_84_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_114_367# a_84_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_114_367# a_84_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__buflp_8

not to scale


.subckt sky130_fd_sc_lp__buflp_8 A VGND VNB VPB VPWR X
X0 VGND a_27_47# a_644_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_27_47# a_644_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_644_47# a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_27_47# a_636_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_27_47# A a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_27_47# A a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 X a_27_47# a_636_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_114_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_636_367# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 X a_27_47# a_644_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_636_367# a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_644_47# a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_636_367# a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_114_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 X a_27_47# a_644_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_114_47# A a_27_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND A a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VPWR a_27_47# a_636_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_636_367# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 X a_27_47# a_636_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VGND a_27_47# a_644_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_644_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_644_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_27_47# A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 X a_27_47# a_636_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_636_367# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_644_47# a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_114_367# A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_27_47# A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 a_114_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 X a_27_47# a_644_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 VPWR a_27_47# a_636_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_636_367# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 VGND a_27_47# a_644_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 a_644_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 a_636_367# a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 a_636_367# a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 a_644_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 VPWR A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X39 VPWR a_27_47# a_636_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X40 VGND a_27_47# a_644_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X41 a_114_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X42 a_644_47# a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X43 VPWR a_27_47# a_636_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends