NAME

and4bb from sky130_fd_sc_lp

DESCRIPTION

4-input AND, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__and4bb"
/*
*/


`ifndef SKY130_FD_SC_LP__AND4BB_FUNCTIONAL_V
`define SKY130_FD_SC_LP__AND4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__and4bb (
    X  ,
    A_N,
    B_N,
    C  ,
    D
);

    // Module ports
    output X  ;
    input  A_N;
    input  B_N;
    input  C  ;
    input  D  ;

    // Local signals
    wire nor0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A_N, B_N       );
    and and0 (and0_out_X, nor0_out, C, D );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__AND4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__and4bb_m

not to scale



.subckt sky130_fd_sc_lp__and4bb_m A_N B_N C D VGND VNB VPB VPWR X
X0 a_332_125# a_223_55# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_332_125# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VPWR B_N a_223_55# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_54_55# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_415_125# a_223_55# a_487_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_595_125# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_332_125# a_54_55# a_415_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_332_125# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_54_55# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_487_125# C a_595_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR a_54_55# a_332_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 VPWR a_332_125# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 VGND B_N a_223_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VPWR C a_332_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and4bb_1

not to scale



.subckt sky130_fd_sc_lp__and4bb_1 A_N B_N C D VGND VNB VPB VPWR X
X0 a_27_51# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR B_N a_196_51# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_427_131# a_196_51# a_499_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_607_131# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_344_131# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND B_N a_196_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_344_131# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VPWR a_344_131# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_344_131# a_27_51# a_427_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR C a_344_131# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_499_131# C a_607_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_344_131# a_196_51# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 a_27_51# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 VPWR a_27_51# a_344_131# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__and4bb_2

not to scale


.subckt sky130_fd_sc_lp__and4bb_2 A_N B_N C D VGND VNB VPB VPWR X
X0 a_185_23# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_27_133# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_516_125# a_558_99# a_588_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR B_N a_558_99# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_696_125# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR C a_185_23# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_27_133# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_185_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_185_23# a_27_133# a_516_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_27_133# a_185_23# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND a_185_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_588_125# C a_696_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 X a_185_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VGND B_N a_558_99# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 X a_185_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_185_23# a_558_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__and4bb_4

not to scale


.subckt sky130_fd_sc_lp__and4bb_4 A_N B_N C D VGND VNB VPB VPWR X
X0 VGND D a_671_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_254_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_851_47# a_929_21# a_254_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_254_21# a_929_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND a_254_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR D a_254_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 X a_254_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_49_131# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_49_131# a_254_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_254_21# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_49_131# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 VPWR a_254_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND a_254_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 X a_254_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VPWR A_N a_929_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_671_47# C a_743_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_743_47# a_49_131# a_851_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VPWR a_254_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 X a_254_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VGND A_N a_929_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends