NAME

and4b from sky130_fd_sc_lp

DESCRIPTION

4-input AND, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__and4b"
/*
*/


`ifndef SKY130_FD_SC_LP__AND4B_FUNCTIONAL_V
`define SKY130_FD_SC_LP__AND4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__and4b (
    X  ,
    A_N,
    B  ,
    C  ,
    D
);

    // Module ports
    output X  ;
    input  A_N;
    input  B  ;
    input  C  ;
    input  D  ;

    // Local signals
    wire not0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    not not0 (not0_out  , A_N              );
    and and0 (and0_out_X, not0_out, B, C, D);
    buf buf0 (X         , and0_out_X       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__AND4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__and4b_m

not to scale



.subckt sky130_fd_sc_lp__and4b_m A_N B C D VGND VNB VPB VPWR X
X0 a_27_55# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR C a_240_73# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_240_73# a_27_55# a_323_73# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR a_27_55# a_240_73# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_395_73# C a_467_73# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_240_73# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_467_73# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_240_73# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VGND a_240_73# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_240_73# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_27_55# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_323_73# B a_395_73# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and4b_1

not to scale



.subckt sky130_fd_sc_lp__and4b_1 A_N B C D VGND VNB VPB VPWR X
X0 a_215_367# a_27_49# a_300_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_27_49# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_27_49# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR C a_215_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR a_215_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND a_215_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_372_47# C a_444_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_444_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_215_367# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VPWR a_27_49# a_215_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_300_47# B a_372_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_215_367# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__and4b_2

not to scale


.subckt sky130_fd_sc_lp__and4b_2 A_N B C D VGND VNB VPB VPWR X
X0 a_222_375# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR C a_222_375# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_306_125# B a_378_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_222_375# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR a_53_375# a_222_375# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_450_125# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_222_375# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_222_375# a_53_375# a_306_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_222_375# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_53_375# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_378_125# C a_450_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 X a_222_375# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 X a_222_375# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VGND A_N a_53_375# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__and4b_4

not to scale


.subckt sky130_fd_sc_lp__and4b_4 A_N B C D VGND VNB VPB VPWR X
X0 VPWR B a_242_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND a_242_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_825_49# a_49_133# a_242_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_242_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_242_23# a_49_133# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 X a_242_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND a_242_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 X a_242_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND D a_645_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_49_133# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR D a_242_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_645_49# C a_717_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_717_49# B a_825_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_49_133# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 X a_242_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VPWR a_242_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VPWR a_242_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_242_23# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends