NAME

and4 from sky130_fd_sc_lp

DESCRIPTION

4-input AND.

FUNCTION

VERILOG

"sky130_fd_sc_lp__and4"
/*
*/


`ifndef SKY130_FD_SC_LP__AND4_FUNCTIONAL_V
`define SKY130_FD_SC_LP__AND4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__and4 (
    X,
    A,
    B,
    C,
    D
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire and0_out_X;

    //  Name  Output      Other arguments
    and and0 (and0_out_X, A, B, C, D     );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__AND4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__and4_m

not to scale



.subckt sky130_fd_sc_lp__and4_m A B C D VGND VNB VPB VPWR X
X0 a_136_47# B a_208_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_53_47# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_53_47# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR a_53_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR A a_53_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_208_47# C a_316_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_316_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR C a_53_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VGND a_53_47# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_53_47# A a_136_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and4_0

not to scale



.subckt sky130_fd_sc_lp__and4_0 A B C D VGND VNB VPB VPWR X
X0 VPWR A a_84_58# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_84_58# A a_167_58# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_84_58# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_84_58# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR a_84_58# X VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VPWR C a_84_58# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_311_58# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_84_58# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_239_58# C a_311_58# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_167_58# B a_239_58# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and4_1

not to scale



.subckt sky130_fd_sc_lp__and4_1 A B C D VGND VNB VPB VPWR X
X0 a_40_47# A a_123_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_40_47# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_327_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR A a_40_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VGND a_40_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_40_47# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_123_47# B a_219_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_219_47# C a_327_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_40_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR C a_40_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__and4_2

not to scale


.subckt sky130_fd_sc_lp__and4_2 A B C D VGND VNB VPB VPWR X
X0 VPWR A a_72_49# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR a_72_49# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_72_49# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 X a_72_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND a_72_49# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_72_49# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_227_49# C a_335_49# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_335_49# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_72_49# A a_155_49# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR C a_72_49# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_72_49# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_155_49# B a_227_49# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__and4_4

not to scale


.subckt sky130_fd_sc_lp__and4_4 A B C D VGND VNB VPB VPWR X
X0 VGND a_58_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_58_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR A a_58_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_58_47# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR a_58_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 X a_58_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_58_47# A a_141_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_141_47# B a_213_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_213_47# C a_321_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_58_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_58_47# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR C a_58_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_321_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VGND a_58_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 X a_58_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR a_58_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends