NAME

and3b from sky130_fd_sc_lp

DESCRIPTION

3-input AND, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__and3b"
/*
*/


`ifndef SKY130_FD_SC_LP__AND3B_FUNCTIONAL_V
`define SKY130_FD_SC_LP__AND3B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__and3b (
    X  ,
    A_N,
    B  ,
    C
);

    // Module ports
    output X  ;
    input  A_N;
    input  B  ;
    input  C  ;

    // Local signals
    wire not0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    not not0 (not0_out  , A_N            );
    and and0 (and0_out_X, C, not0_out, B );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__AND3B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__and3b_m

not to scale



.subckt sky130_fd_sc_lp__and3b_m A_N B C VGND VNB VPB VPWR X
X0 a_220_53# a_110_53# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND A_N a_110_53# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_220_53# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_304_53# B a_376_53# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR A_N a_110_53# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_376_53# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_220_53# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_220_53# a_110_53# a_304_53# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_220_53# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VPWR B a_220_53# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and3b_1

not to scale



.subckt sky130_fd_sc_lp__and3b_1 A_N B C VGND VNB VPB VPWR X
X0 a_376_47# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_185_367# a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND A_N a_110_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR A_N a_110_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_185_367# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_304_47# B a_376_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_185_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR B a_185_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_185_367# a_110_47# a_304_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_185_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__and3b_2

not to scale


.subckt sky130_fd_sc_lp__and3b_2 A_N B C VGND VNB VPB VPWR X
X0 VGND a_204_27# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR C a_204_27# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_489_137# B a_561_137# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_27_137# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_204_27# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 X a_204_27# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 X a_204_27# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_27_137# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_204_27# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR a_27_137# a_204_27# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND C a_489_137# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_561_137# a_27_137# a_204_27# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__and3b_4

not to scale


.subckt sky130_fd_sc_lp__and3b_4 A_N B C VGND VNB VPB VPWR X
X0 VGND a_242_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_242_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 X a_242_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND a_242_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 X a_242_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND C a_645_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_49_133# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR C a_242_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_645_49# B a_717_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_717_49# a_49_133# a_242_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_49_133# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 X a_242_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR a_242_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VPWR a_242_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_242_23# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VPWR a_49_133# a_242_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends