NAME

and3 from sky130_fd_sc_lp

DESCRIPTION

3-input AND.

FUNCTION

VERILOG

"sky130_fd_sc_lp__and3"
/*
*/


`ifndef SKY130_FD_SC_LP__AND3_FUNCTIONAL_V
`define SKY130_FD_SC_LP__AND3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__and3 (
    X,
    A,
    B,
    C
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire and0_out_X;

    //  Name  Output      Other arguments
    and and0 (and0_out_X, C, A, B        );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__AND3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__and3_m

not to scale



.subckt sky130_fd_sc_lp__and3_m A B C VGND VNB VPB VPWR X
X0 a_51_47# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR B a_51_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_51_47# A a_134_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR a_51_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_134_47# B a_206_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_51_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_206_47# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_51_47# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and3_0

not to scale



.subckt sky130_fd_sc_lp__and3_0 A B C VGND VNB VPB VPWR X
X0 a_68_65# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_229_65# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR a_68_65# X VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 VGND a_68_65# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_68_65# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_68_65# A a_157_65# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR B a_68_65# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_157_65# B a_229_65# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and3_1

not to scale



.subckt sky130_fd_sc_lp__and3_1 A B C VGND VNB VPB VPWR X
X0 a_61_367# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND a_61_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_61_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_227_53# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_61_367# A a_149_53# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR B a_61_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VPWR a_61_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_149_53# B a_227_53# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__and3_2

not to scale


.subckt sky130_fd_sc_lp__and3_2 A B C VGND VNB VPB VPWR X
X0 a_27_385# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND a_27_385# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_27_385# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 X a_27_385# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR a_27_385# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 X a_27_385# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_196_47# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_124_47# B a_196_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_27_385# A a_124_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR B a_27_385# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__and3_4

not to scale


.subckt sky130_fd_sc_lp__and3_4 A B C VGND VNB VPB VPWR X
X0 X a_77_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_77_47# A a_160_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR a_77_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_77_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND a_77_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_77_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR a_77_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_77_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_160_47# B a_232_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_232_47# C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND a_77_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_77_47# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR B a_77_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 X a_77_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends