NAME

and2 from sky130_fd_sc_lp

DESCRIPTION

2-input AND.

FUNCTION

VERILOG

"sky130_fd_sc_lp__and2"
/*
*/


`ifndef SKY130_FD_SC_LP__AND2_FUNCTIONAL_V
`define SKY130_FD_SC_LP__AND2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__and2 (
    X,
    A,
    B
);

    // Module ports
    output X;
    input  A;
    input  B;

    // Local signals
    wire and0_out_X;

    //  Name  Output      Other arguments
    and and0 (and0_out_X, A, B           );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__AND2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__and2_m

not to scale



.subckt sky130_fd_sc_lp__and2_m A B VGND VNB VPB VPWR X
X0 a_34_141# A a_117_141# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR a_34_141# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VPWR A a_34_141# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND a_34_141# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_34_141# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_117_141# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and2_0

not to scale



.subckt sky130_fd_sc_lp__and2_0 A B VGND VNB VPB VPWR X
X0 a_63_47# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR a_63_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_63_47# A a_146_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_146_47# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR A a_63_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND a_63_47# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__and2_1

not to scale



.subckt sky130_fd_sc_lp__and2_1 A B VGND VNB VPB VPWR X
X0 a_175_131# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR a_92_131# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND a_92_131# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_92_131# A a_175_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_92_131# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR A a_92_131# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__and2_2

not to scale


.subckt sky130_fd_sc_lp__and2_2 A B VGND VNB VPB VPWR X
X0 a_129_47# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR a_46_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND a_46_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_46_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_46_47# A a_129_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_46_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR A a_46_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_46_47# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__and2_4

not to scale


.subckt sky130_fd_sc_lp__and2_4 A B VGND VNB VPB VPWR X
X0 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_110_47# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_27_47# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_27_47# A a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends