NAME

a41oi from sky130_fd_sc_lp

DESCRIPTION

4-input AND into first input of 2-input NOR.

FUNCTION

Y = !((A1 & A2 & A3 & A4) | B1)

VERILOG

"sky130_fd_sc_lp__a41oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A41OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A41OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a41oi (
    Y ,
    A1,
    A2,
    A3,
    A4,
    B1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  A3;
    input  A4;
    input  B1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A1, A2, A3, A4 );
    nor nor0 (nor0_out_Y, B1, and0_out   );
    buf buf0 (Y         , nor0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A41OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a41oi_m

not to scale



.subckt sky130_fd_sc_lp__a41oi_m A1 A2 A3 A4 B1 VGND VNB VPB VPWR Y
X0 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 Y A1 a_300_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_466_47# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR A2 a_186_531# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_186_531# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_372_47# A3 a_466_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_186_531# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_300_47# A2 a_372_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR A4 a_186_531# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 Y B1 a_186_531# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a41oi_0

not to scale



.subckt sky130_fd_sc_lp__a41oi_0 A1 A2 A3 A4 B1 VGND VNB VPB VPWR Y
X0 Y B1 a_176_479# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VPWR A2 a_176_479# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 Y A1 a_230_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_176_479# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VPWR A4 a_176_479# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_320_47# A3 a_434_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_176_479# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_230_47# A2 a_320_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_434_47# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a41oi_1

not to scale



.subckt sky130_fd_sc_lp__a41oi_1 A1 A2 A3 A4 B1 VGND VNB VPB VPWR Y
X0 a_128_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A3 a_128_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_390_47# A2 a_504_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_128_367# A4 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y B1 a_128_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_504_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND A4 a_304_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR A1 a_128_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_304_47# A3 a_390_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a41oi_2

not to scale


.subckt sky130_fd_sc_lp__a41oi_2 A1 A2 A3 A4 B1 VGND VNB VPB VPWR Y
X0 a_318_69# A2 a_577_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_577_69# A2 a_318_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR A4 a_103_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A2 a_103_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A3 a_103_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_788_69# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A1 a_103_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND A4 a_788_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_103_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_103_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_788_69# A3 a_577_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_577_69# A3 a_788_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_103_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_103_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y B1 a_103_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_318_69# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 Y A1 a_318_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_103_367# A4 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a41oi_4

not to scale


.subckt sky130_fd_sc_lp__a41oi_4 A1 A2 A3 A4 B1 VGND VNB VPB VPWR Y
X0 a_921_65# A3 a_1291_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_1291_65# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_30_367# A4 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_478_65# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A4 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A4 a_1291_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Y A1 a_478_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_921_65# A2 a_478_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_1291_65# A4 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_30_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR A2 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_921_65# A2 a_478_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_1291_65# A3 a_921_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR A1 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VPWR A1 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR A4 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_921_65# A3 a_1291_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 Y A1 a_478_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 Y B1 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_30_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_478_65# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 VPWR A3 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 VGND A4 a_1291_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_30_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_30_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VPWR A2 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_30_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 VPWR A3 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_30_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_30_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_30_367# A4 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 a_478_65# A2 a_921_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 Y B1 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 a_30_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 a_478_65# A2 a_921_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 a_1291_65# A3 a_921_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends