NAME

a32oi from sky130_fd_sc_lp

DESCRIPTION

3-input AND into first input, and 2-input AND into 2nd input of 2-input NOR.

FUNCTION

Y = !((A1 & A2 & A3) | (B1 & B2))

VERILOG

"sky130_fd_sc_lp__a32oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A32OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A32OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a32oi (
    Y ,
    A1,
    A2,
    A3,
    B1,
    B2
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  B2;

    // Local signals
    wire nand0_out ;
    wire nand1_out ;
    wire and0_out_Y;

    //   Name   Output      Other arguments
    nand nand0 (nand0_out , A2, A1, A3          );
    nand nand1 (nand1_out , B2, B1              );
    and  and0  (and0_out_Y, nand0_out, nand1_out);
    buf  buf0  (Y         , and0_out_Y          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A32OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a32oi_m

not to scale



.subckt sky130_fd_sc_lp__a32oi_m A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 a_40_500# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 Y B1 a_40_500# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 Y A1 a_319_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_319_47# A2 a_427_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_40_500# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_40_500# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND B2 a_152_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A2 a_40_500# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_152_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_427_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a32oi_0

not to scale



.subckt sky130_fd_sc_lp__a32oi_0 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 Y B1 a_37_397# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VPWR A2 a_37_397# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_37_397# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 Y A1 a_333_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND B2 a_141_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_37_397# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_37_397# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_333_47# A2 a_447_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_141_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_447_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a32oi_1

not to scale



.subckt sky130_fd_sc_lp__a32oi_1 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 a_326_69# A2 a_434_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_58_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y A1 a_326_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y B1 a_58_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND B2 a_141_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_58_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_58_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR A2 a_58_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_141_69# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_434_69# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a32oi_2

not to scale


.subckt sky130_fd_sc_lp__a32oi_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 VPWR A1 a_43_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND B2 a_43_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_509_65# A2 a_778_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_778_65# A2 a_509_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A3 a_43_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_43_65# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_43_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_43_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y B1 a_43_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_43_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_43_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y B2 a_43_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND A3 a_778_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_43_65# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y B1 a_43_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_43_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VPWR A2 a_43_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_509_65# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_778_65# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 Y A1 a_509_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a32oi_4

not to scale


.subckt sky130_fd_sc_lp__a32oi_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
X0 Y B1 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_840_47# A2 a_1267_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_42_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_1267_47# A2 a_840_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_42_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y A1 a_840_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_42_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_42_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A2 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_840_47# A2 a_1267_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND A3 a_1267_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_1267_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_42_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y B2 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND B2 a_28_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_28_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VPWR A2 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR A1 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_42_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_42_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 a_28_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 Y B1 a_28_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_42_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 Y B1 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 VGND A3 a_1267_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 Y B2 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_840_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 Y A1 a_840_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_1267_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 a_42_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 VPWR A3 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 VPWR A3 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 VGND B2 a_28_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 a_840_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 VPWR A1 a_42_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X35 a_42_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 a_28_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X37 a_1267_47# A2 a_840_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 a_28_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 Y B1 a_28_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends