NAME

a32o from sky130_fd_sc_lp

DESCRIPTION

3-input AND into first input, and 2-input AND into 2nd input of 2-input OR.

FUNCTION

X = ((A1 & A2 & A3) | (B1 & B2))

VERILOG

"sky130_fd_sc_lp__a32o"
/*
*/


`ifndef SKY130_FD_SC_LP__A32O_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A32O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a32o (
    X ,
    A1,
    A2,
    A3,
    B1,
    B2
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  B2;

    // Local signals
    wire and0_out ;
    wire and1_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A3, A1, A2        );
    and and1 (and1_out , B1, B2            );
    or  or0  (or0_out_X, and1_out, and0_out);
    buf buf0 (X        , or0_out_X         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A32O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a32o_m

not to scale



.subckt sky130_fd_sc_lp__a32o_m A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 X a_84_153# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_228_47# A2 a_300_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_84_153# B2 a_228_385# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_516_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 X a_84_153# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR A3 a_228_385# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_300_47# A1 a_84_153# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_84_153# B1 a_516_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_228_385# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND A3 a_228_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_228_385# B1 a_84_153# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 VPWR A1 a_228_385# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a32o_0

not to scale



.subckt sky130_fd_sc_lp__a32o_0 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_563_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_269_429# B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 VGND A3 a_275_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_269_429# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_80_21# B2 a_269_429# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 VPWR A3 a_269_429# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_275_47# A2 a_363_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR A1 a_269_429# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_363_47# A1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_80_21# B1 a_563_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a32o_1

not to scale



.subckt sky130_fd_sc_lp__a32o_1 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_249_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_80_21# B2 a_249_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_249_367# B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A1 a_249_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_80_21# B1 a_609_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_356_47# A1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR A3 a_249_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_609_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_263_47# A2 a_356_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND A3 a_263_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a32o_2

not to scale


.subckt sky130_fd_sc_lp__a32o_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_345_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A2 a_345_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_345_367# B2 a_108_267# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_631_47# A2 a_739_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND B2 a_432_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_739_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_432_47# B1 a_108_267# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_345_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_108_267# B1 a_345_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR a_108_267# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 X a_108_267# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND a_108_267# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_108_267# A1 a_631_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 X a_108_267# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a32o_4

not to scale


.subckt sky130_fd_sc_lp__a32o_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_511_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_101_21# B1 a_1208_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_511_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_511_47# A2 a_760_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_760_47# A1 a_101_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_1208_65# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A1 a_511_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_101_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR a_101_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR A3 a_511_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_511_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND a_101_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 X a_101_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_511_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_101_21# B2 a_511_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_511_367# B2 a_101_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VPWR A2 a_511_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_760_47# A2 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 VGND B2 a_1208_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_511_367# B1 a_101_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VGND a_101_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 X a_101_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_101_21# B1 a_511_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_101_21# A1 a_760_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_1208_65# B1 a_101_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 X a_101_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 VGND A3 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 VPWR a_101_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends