NAME

a31oi from sky130_fd_sc_lp

DESCRIPTION

3-input AND into first input of 2-input NOR.

FUNCTION

Y = !((A1 & A2 & A3) | B1)

VERILOG

"sky130_fd_sc_lp__a31oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A31OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A31OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a31oi (
    Y ,
    A1,
    A2,
    A3,
    B1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A3, A1, A2     );
    nor nor0 (nor0_out_Y, B1, and0_out   );
    buf buf0 (Y         , nor0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A31OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a31oi_m

not to scale



.subckt sky130_fd_sc_lp__a31oi_m A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 a_169_500# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_189_82# A2 a_261_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A1 a_169_500# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND A3 a_189_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_261_82# A1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR A3 a_169_500# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_169_500# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a31oi_0

not to scale



.subckt sky130_fd_sc_lp__a31oi_0 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 VPWR A3 a_110_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_110_473# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_123_47# A2 a_201_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR A1 a_110_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VGND A3 a_123_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_110_473# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_201_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a31oi_1

not to scale



.subckt sky130_fd_sc_lp__a31oi_1 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 VGND A3 a_151_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_151_47# A2 a_223_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_223_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A1 a_151_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_151_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_151_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR A3 a_151_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a31oi_2

not to scale


.subckt sky130_fd_sc_lp__a31oi_2 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 a_27_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A3 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND A3 a_27_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_27_69# A2 a_282_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_27_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_27_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_282_69# A2 a_27_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_27_69# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_27_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR A1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR A2 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y A1 a_282_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y B1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_282_69# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a31oi_4

not to scale


.subckt sky130_fd_sc_lp__a31oi_4 A1 A2 A3 B1 VGND VNB VPB VPWR Y
X0 VPWR A2 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_454_69# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_41_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 Y A1 a_454_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND A3 a_27_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_27_69# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_41_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y B1 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_41_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_41_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR A3 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y B1 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_41_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND A3 a_27_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 Y A1 a_454_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_27_69# A2 a_454_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_41_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_27_69# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_454_69# A2 a_27_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 VPWR A3 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 VPWR A1 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_27_69# A2 a_454_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_41_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 VPWR A1 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_41_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 a_454_69# A2 a_27_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_454_69# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 VPWR A2 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends