NAME

a31o from sky130_fd_sc_lp

DESCRIPTION

3-input AND into first input of 2-input OR.

FUNCTION

X = ((A1 & A2 & A3) | B1)

VERILOG

"sky130_fd_sc_lp__a31o"
/*
*/


`ifndef SKY130_FD_SC_LP__A31O_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A31O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a31o (
    X ,
    A1,
    A2,
    A3,
    B1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A3, A1, A2     );
    or  or0  (or0_out_X, and0_out, B1   );
    buf buf0 (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A31O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a31o_m

not to scale



.subckt sky130_fd_sc_lp__a31o_m A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 VPWR A1 a_274_512# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_274_512# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 X a_86_172# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_274_512# B1 a_86_172# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_282_66# A2 a_354_66# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_86_172# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_354_66# A1 a_86_172# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_86_172# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR A3 a_274_512# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND A3 a_282_66# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a31o_0

not to scale



.subckt sky130_fd_sc_lp__a31o_0 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_361_50# A1 a_86_241# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_86_241# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 X a_86_241# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND A3 a_272_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR A3 a_266_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_266_483# B1 a_86_241# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 X a_86_241# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_272_50# A2 a_361_50# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_266_483# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 VPWR A1 a_266_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a31o_1

not to scale



.subckt sky130_fd_sc_lp__a31o_1 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 VGND A3 a_269_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR A3 a_269_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_269_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A1 a_269_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_269_367# B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_269_47# A2 a_347_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_347_47# A1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_80_21# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a31o_2

not to scale


.subckt sky130_fd_sc_lp__a31o_2 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 VPWR a_85_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 X a_85_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_342_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A1 a_342_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND A3 a_355_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_355_49# A2 a_427_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 X a_85_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_342_367# B1 a_85_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A3 a_342_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND a_85_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_427_49# A1 a_85_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_85_23# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a31o_4

not to scale


.subckt sky130_fd_sc_lp__a31o_4 A1 A2 A3 B1 VGND VNB VPB VPWR X
X0 a_27_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_726_47# A2 a_919_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_27_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_110_47# B1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND B1 a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_27_367# B1 a_110_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_919_67# A1 a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_110_47# A1 a_919_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_919_67# A2 a_726_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VGND A3 a_726_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_726_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VPWR A1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_27_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR A2 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_110_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 VPWR A3 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends