NAME

a311oi from sky130_fd_sc_lp

DESCRIPTION

3-input AND into first input of 3-input NOR.

FUNCTION

Y = !((A1 & A2 & A3) | B1 | C1)

VERILOG

"sky130_fd_sc_lp__a311oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A311OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A311OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a311oi (
    Y ,
    A1,
    A2,
    A3,
    B1,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  C1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A3, A1, A2      );
    nor nor0 (nor0_out_Y, and0_out, B1, C1);
    buf buf0 (Y         , nor0_out_Y      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A311OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a311oi_m

not to scale



.subckt sky130_fd_sc_lp__a311oi_m A1 A2 A3 B1 C1 VGND VNB VPB VPWR Y
X0 VPWR A1 a_191_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_199_51# A2 a_271_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_191_535# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR A3 a_191_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_449_535# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND A3 a_199_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_271_51# A1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_191_535# B1 a_449_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a311oi_0

not to scale



.subckt sky130_fd_sc_lp__a311oi_0 A1 A2 A3 B1 C1 VGND VNB VPB VPWR Y
X0 a_158_473# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_432_473# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 VPWR A1 a_158_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_180_47# A2 a_252_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_252_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_158_473# B1 a_432_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND A3 a_180_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR A3 a_158_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a311oi_1

not to scale



.subckt sky130_fd_sc_lp__a311oi_1 A1 A2 A3 B1 C1 VGND VNB VPB VPWR Y
X0 VPWR A3 a_181_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_270_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR A1 a_181_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A3 a_181_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_181_47# A2 a_270_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_181_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_181_367# B1 a_520_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_520_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a311oi_2

not to scale


.subckt sky130_fd_sc_lp__a311oi_2 A1 A2 A3 B1 C1 VGND VNB VPB VPWR Y
X0 a_727_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND A3 a_48_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A1 a_135_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y A1 a_307_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_135_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR A2 a_135_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_135_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_48_69# A2 a_307_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_307_69# A2 a_48_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR A3 a_135_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_135_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_48_69# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_307_69# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 Y C1 a_727_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_135_367# B1 a_727_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_727_367# B1 a_135_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a311oi_4

not to scale


.subckt sky130_fd_sc_lp__a311oi_4 A1 A2 A3 B1 C1 VGND VNB VPB VPWR Y
X0 a_454_47# A2 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR A1 a_124_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND A3 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_27_47# A2 a_454_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A2 a_124_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_27_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_454_47# A2 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR A3 a_124_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_1199_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y A1 a_454_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VPWR A3 a_124_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_124_367# B1 a_1199_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_124_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_1199_367# B1 a_124_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_454_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 Y A1 a_454_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_124_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_1199_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 VPWR A2 a_124_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_1199_367# B1 a_124_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 Y C1 a_1199_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_124_367# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 a_124_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 VPWR A1 a_124_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 VGND A3 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 a_454_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 a_124_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_27_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 Y C1 a_1199_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X34 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 a_124_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 a_27_47# A2 a_454_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X37 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 a_124_367# B1 a_1199_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X39 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends