NAME

a2bb2oi from sky130_fd_sc_lp

DESCRIPTION

2-input AND, both inputs inverted, into first input, and 2-input AND into 2nd input of 2-input NOR.

FUNCTION

Y = !((!A1 & !A2) | (B1 & B2))

VERILOG

"sky130_fd_sc_lp__a2bb2oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A2BB2OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A2BB2OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a2bb2oi (
    Y   ,
    A1_N,
    A2_N,
    B1  ,
    B2
);

    // Module ports
    output Y   ;
    input  A1_N;
    input  A2_N;
    input  B1  ;
    input  B2  ;

    // Local signals
    wire and0_out  ;
    wire nor0_out  ;
    wire nor1_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , B1, B2            );
    nor nor0 (nor0_out  , A1_N, A2_N        );
    nor nor1 (nor1_out_Y, nor0_out, and0_out);
    buf buf0 (Y         , nor1_out_Y        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A2BB2OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a2bb2oi_m

not to scale



.subckt sky130_fd_sc_lp__a2bb2oi_m A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_202_47# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 Y B2 a_467_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A1_N a_132_517# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_467_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_403_387# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y a_202_47# a_403_387# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND A1_N a_202_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_202_47# Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR B1 a_403_387# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_132_517# A2_N a_202_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a2bb2oi_0

not to scale



.subckt sky130_fd_sc_lp__a2bb2oi_0 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 Y a_110_47# a_420_387# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_420_387# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 VGND A1_N a_110_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR B1 a_420_387# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_481_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND a_110_47# Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 Y B2 a_481_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_110_427# A2_N a_110_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_110_47# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR A1_N a_110_427# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a2bb2oi_1

not to scale



.subckt sky130_fd_sc_lp__a2bb2oi_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 Y B2 a_467_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A1_N a_113_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR A1_N a_113_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y a_113_47# a_381_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_381_367# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR B1 a_381_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_467_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND a_113_47# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_113_47# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_113_367# A2_N a_113_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a2bb2oi_2

not to scale


.subckt sky130_fd_sc_lp__a2bb2oi_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_459_39# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A2_N a_459_39# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_30_367# a_459_39# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR B1 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR B2 a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_113_65# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y B2 a_113_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_30_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y a_459_39# a_30_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_113_65# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR A1_N a_699_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_30_367# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_699_367# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VGND a_459_39# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y a_459_39# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_459_39# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND A1_N a_459_39# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_459_39# A2_N a_699_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_699_367# A2_N a_459_39# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VGND B1 a_113_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a2bb2oi_4

not to scale


.subckt sky130_fd_sc_lp__a2bb2oi_4 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 VGND B1 a_157_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_832_21# A2_N a_1241_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_157_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_73_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR B2 a_73_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR A1_N a_1241_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_1241_367# A2_N a_832_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y B2 a_157_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND a_832_21# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_73_367# a_832_21# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_73_367# a_832_21# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND A1_N a_832_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VPWR B1 a_73_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VGND B1 a_157_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VGND a_832_21# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_157_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_832_21# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VPWR B2 a_73_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_73_367# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_832_21# A2_N a_1241_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VPWR B1 a_73_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_157_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 Y B2 a_157_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 Y a_832_21# a_73_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 Y a_832_21# a_73_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 Y a_832_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 VGND A2_N a_832_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_73_367# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_1241_367# A2_N a_832_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 a_1241_367# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 Y a_832_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 a_832_21# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 a_157_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 VGND A2_N a_832_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 a_73_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X35 a_1241_367# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 a_832_21# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X37 VPWR A1_N a_1241_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X38 VGND A1_N a_832_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 a_832_21# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends