NAME

a2bb2o from sky130_fd_sc_lp

DESCRIPTION

2-input AND, both inputs inverted, into first input, and 2-input AND into 2nd input of 2-input OR.

FUNCTION

X = ((!A1 & !A2) | (B1 & B2))

VERILOG

"sky130_fd_sc_lp__a2bb2o"
/*
*/


`ifndef SKY130_FD_SC_LP__A2BB2O_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A2BB2O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a2bb2o (
    X   ,
    A1_N,
    A2_N,
    B1  ,
    B2
);

    // Module ports
    output X   ;
    input  A1_N;
    input  A2_N;
    input  B1  ;
    input  B2  ;

    // Local signals
    wire and0_out ;
    wire nor0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , B1, B2            );
    nor nor0 (nor0_out , A1_N, A2_N        );
    or  or0  (or0_out_X, nor0_out, and0_out);
    buf buf0 (X        , or0_out_X         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A2BB2O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a2bb2o_m

not to scale



.subckt sky130_fd_sc_lp__a2bb2o_m A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 VPWR B1 a_479_429# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND A1_N a_210_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A1_N a_223_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_223_535# A2_N a_210_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 X a_85_345# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_551_125# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_210_125# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_85_345# a_210_125# a_479_429# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 X a_85_345# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_85_345# B2 a_551_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND a_210_125# a_85_345# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_479_429# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a2bb2o_0

not to scale



.subckt sky130_fd_sc_lp__a2bb2o_0 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 X a_59_194# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 X a_59_194# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_59_194# B2 a_523_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_59_194# a_237_47# a_516_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_237_47# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND A1_N a_237_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_516_535# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VPWR B1 a_516_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_223_490# A2_N a_237_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND a_237_47# a_59_194# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_523_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR A1_N a_223_490# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a2bb2o_1

not to scale



.subckt sky130_fd_sc_lp__a2bb2o_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_271_47# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR B1 a_505_529# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_505_529# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_571_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_271_47# a_91_269# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND A1_N a_271_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_91_269# B2 a_571_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A1_N a_271_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 X a_91_269# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_91_269# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_91_269# a_271_47# a_505_529# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_271_367# A2_N a_271_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__a2bb2o_2

not to scale


.subckt sky130_fd_sc_lp__a2bb2o_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_260_341# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_27_481# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 VPWR a_218_131# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND B1 a_146_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 X a_218_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_146_131# B2 a_218_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_218_131# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 X a_218_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR B2 a_27_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_218_131# a_260_341# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND A2_N a_260_341# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_27_481# a_260_341# a_218_131# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_260_341# A2_N a_480_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 a_480_367# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

sky130_fd_sc_lp__a2bb2o_4

not to scale


.subckt sky130_fd_sc_lp__a2bb2o_4 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_742_367# A2_N a_436_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND A1_N a_436_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND a_200_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND B1 a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 X a_200_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR a_200_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_436_21# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_436_21# A2_N a_742_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND a_200_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_114_47# B2 a_200_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND a_436_21# a_200_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 X a_200_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_200_47# a_436_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 X a_200_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VPWR A1_N a_742_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_742_367# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_27_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_27_367# a_436_21# a_200_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR B1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VPWR B2 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 a_27_367# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_200_47# B2 a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 VPWR a_200_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 X a_200_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_114_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_436_21# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_200_47# a_436_21# a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VGND A2_N a_436_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends