NAME

a22oi from sky130_fd_sc_lp

DESCRIPTION

2-input AND into both inputs of 2-input NOR.

FUNCTION

Y = !((A1 & A2) | (B1 & B2))

VERILOG

"sky130_fd_sc_lp__a22oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A22OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A22OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a22oi (
    Y ,
    A1,
    A2,
    B1,
    B2
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;

    // Local signals
    wire nand0_out ;
    wire nand1_out ;
    wire and0_out_Y;

    //   Name   Output      Other arguments
    nand nand0 (nand0_out , A2, A1              );
    nand nand1 (nand1_out , B2, B1              );
    and  and0  (and0_out_Y, nand0_out, nand1_out);
    buf  buf0  (Y         , and0_out_Y          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A22OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a22oi_m

not to scale



.subckt sky130_fd_sc_lp__a22oi_m A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 Y B1 a_39_496# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_39_496# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_314_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR A2 a_39_496# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_39_496# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y A1 a_314_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND B2 a_133_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_133_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a22oi_0

not to scale



.subckt sky130_fd_sc_lp__a22oi_0 A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 Y A1 a_307_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR A2 a_45_405# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_45_405# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_307_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_121_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND B2 a_121_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_45_405# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 Y B1 a_45_405# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a22oi_1

not to scale



.subckt sky130_fd_sc_lp__a22oi_1 A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 VPWR A2 a_65_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y A1 a_331_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_65_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_148_69# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_331_69# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND B2 a_148_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y B1 a_65_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_65_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a22oi_2

not to scale


.subckt sky130_fd_sc_lp__a22oi_2 A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 a_49_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A1 a_49_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND B2 a_595_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y B1 a_49_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_595_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND A2 a_179_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y A1 a_179_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_179_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_49_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_179_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 Y B1 a_595_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR A2 a_49_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_49_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_595_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y B2 a_49_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_49_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a22oi_4

not to scale


.subckt sky130_fd_sc_lp__a22oi_4 A1 A2 B1 B2 VGND VNB VPB VPWR Y
X0 a_89_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_89_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR A2 a_89_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_63_65# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_867_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND B2 a_63_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A1 a_89_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y B1 a_89_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_63_65# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VGND B2 a_63_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_63_65# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 Y A1 a_867_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_867_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_89_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND A2 a_867_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_89_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y B2 a_89_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 Y A1 a_867_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 Y B1 a_63_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_63_65# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_89_367# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 Y B1 a_89_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 Y B1 a_63_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_867_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_867_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VPWR A2 a_89_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 VGND A2 a_867_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 VPWR A1 a_89_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_89_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 a_89_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_89_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 Y B2 a_89_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends