NAME

a221oi from sky130_fd_sc_lp

DESCRIPTION

2-input AND into first two inputs of 3-input NOR.

FUNCTION

Y = !((A1 & A2) | (B1 & B2) | C1)

VERILOG

"sky130_fd_sc_lp__a221oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A221OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A221OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a221oi (
    Y ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire and0_out  ;
    wire and1_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , B1, B2                );
    and and1 (and1_out  , A1, A2                );
    nor nor0 (nor0_out_Y, and0_out, C1, and1_out);
    buf buf0 (Y         , nor0_out_Y            );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A221OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a221oi_m

not to scale



.subckt sky130_fd_sc_lp__a221oi_m A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 VGND B2 a_226_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_210_535# B2 a_296_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 Y C1 a_210_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_406_55# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR A2 a_296_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_296_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_226_55# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_296_535# B1 a_210_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 Y A1 a_406_55# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a221oi_0

not to scale



.subckt sky130_fd_sc_lp__a221oi_0 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_408_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_242_487# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 VPWR A2 a_242_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_228_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 Y A1 a_408_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_242_487# B1 a_156_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 VGND B2 a_228_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 Y C1 a_156_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_156_487# B2 a_242_487# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a221oi_1

not to scale



.subckt sky130_fd_sc_lp__a221oi_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 VGND B2 a_300_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_217_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_480_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y C1 a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_110_367# B1 a_217_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR A2 a_217_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_300_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 Y A1 a_480_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_217_367# B2 a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a221oi_2

not to scale


.subckt sky130_fd_sc_lp__a221oi_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_384_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_303_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_303_367# B1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_27_367# B1 a_303_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A2 a_760_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y C1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_303_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y B1 a_384_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_760_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_384_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_27_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_27_367# B2 a_303_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_760_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y A1 a_760_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR A2 a_303_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_303_367# B2 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR A1 a_303_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VGND B2 a_384_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a221oi_4

not to scale


.subckt sky130_fd_sc_lp__a221oi_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_85_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y C1 a_85_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_1334_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_546_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_85_367# B1 a_533_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_85_367# B1 a_533_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A2 a_533_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y B1 a_546_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND A2 a_1334_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VGND B2 a_546_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 Y C1 a_85_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Y A1 a_1334_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_1334_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_533_367# B1 a_85_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR A2 a_533_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VGND A2 a_1334_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_533_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 a_533_367# B1 a_85_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VGND B2 a_546_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_546_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 Y B1 a_546_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_85_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_1334_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_533_367# B2 a_85_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 a_533_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 VPWR A1 a_533_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 a_1334_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 a_546_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 a_85_367# B2 a_533_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 a_533_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X34 a_533_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X35 a_546_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X36 a_533_367# B2 a_85_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 VPWR A1 a_533_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X38 a_85_367# B2 a_533_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X39 Y A1 a_1334_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends