NAME

a221o from sky130_fd_sc_lp

DESCRIPTION

2-input AND into first two inputs of 3-input OR.

FUNCTION

X = ((A1 & A2) | (B1 & B2) | C1)

VERILOG

"sky130_fd_sc_lp__a221o"
/*
*/


`ifndef SKY130_FD_SC_LP__A221O_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A221O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a221o (
    X ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire and0_out ;
    wire and1_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , B1, B2                );
    and and1 (and1_out , A1, A2                );
    or  or0  (or0_out_X, and1_out, and0_out, C1);
    buf buf0 (X        , or0_out_X             );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A221O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a221o_m

not to scale



.subckt sky130_fd_sc_lp__a221o_m A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 VGND C1 a_33_153# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 X a_33_153# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 X a_33_153# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_33_153# B1 a_399_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR A2 a_233_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_196_47# A1 a_33_153# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_233_535# B2 a_337_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_399_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_337_397# B1 a_233_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND A2 a_196_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_233_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_337_397# C1 a_33_153# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a221o_0

not to scale



.subckt sky130_fd_sc_lp__a221o_0 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_409_429# B1 a_216_484# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 X a_72_312# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_216_484# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_216_484# B2 a_409_429# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VGND A2 a_246_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_474_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_409_429# C1 a_72_312# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_246_47# A1 a_72_312# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND C1 a_72_312# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 X a_72_312# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_72_312# B1 a_474_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR A2 a_216_484# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a221o_1

not to scale



.subckt sky130_fd_sc_lp__a221o_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 VPWR A2 a_264_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_458_367# B1 a_264_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_541_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_264_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_264_367# B2 a_458_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_264_47# A1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND A2 a_264_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_458_367# C1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND C1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_80_21# B1 a_541_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a221o_2

not to scale


.subckt sky130_fd_sc_lp__a221o_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_334_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_334_367# B2 a_653_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_86_27# B1 a_739_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_653_367# B1 a_334_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_86_27# C1 a_653_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND a_86_27# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_739_49# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 X a_86_27# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR a_86_27# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND A2 a_356_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND C1 a_86_27# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 X a_86_27# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_356_53# A1 a_86_27# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR A2 a_334_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a221o_4

not to scale


.subckt sky130_fd_sc_lp__a221o_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 X a_83_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_1077_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_457_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A2 a_457_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND a_83_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_457_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND B2 a_1077_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 X a_83_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_822_367# B1 a_457_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR a_83_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_822_367# C1 a_83_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_83_21# B1 a_1077_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_1077_47# B1 a_83_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR A1 a_457_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_457_47# A1 a_83_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR a_83_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_83_21# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND C1 a_83_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_822_367# B2 a_457_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_457_367# B1 a_822_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 a_83_21# C1 a_822_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_457_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 X a_83_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VPWR A2 a_457_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_83_21# A1 a_457_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 X a_83_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_457_367# B2 a_822_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VGND a_83_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends