NAME

a21oi from sky130_fd_sc_lp

DESCRIPTION

2-input AND into first input of 2-input NOR.

FUNCTION

Y = !((A1 & A2) | B1)

VERILOG

"sky130_fd_sc_lp__a21oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A21OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A21OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a21oi (
    Y ,
    A1,
    A2,
    B1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A1, A2         );
    nor nor0 (nor0_out_Y, B1, and0_out   );
    buf buf0 (Y         , nor0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A21OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a21oi_m

not to scale



.subckt sky130_fd_sc_lp__a21oi_m A1 A2 B1 VGND VNB VPB VPWR Y
X0 VPWR A1 a_27_504# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_27_504# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_27_504# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_118_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND A2 a_118_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a21oi_0

not to scale



.subckt sky130_fd_sc_lp__a21oi_0 A1 A2 B1 VGND VNB VPB VPWR Y
X0 a_136_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_45_473# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 VPWR A1 a_45_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_45_473# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VGND A2 a_136_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a21oi_1

not to scale



.subckt sky130_fd_sc_lp__a21oi_1 A1 A2 B1 VGND VNB VPB VPWR Y
X0 a_27_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_27_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR A1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A2 a_110_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_110_69# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a21oi_2

not to scale


.subckt sky130_fd_sc_lp__a21oi_2 A1 A2 B1 VGND VNB VPB VPWR Y
X0 VPWR A2 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_110_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR A1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A2 a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_27_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y B1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_27_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_27_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_110_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 Y A1 a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a21oi_4

not to scale


.subckt sky130_fd_sc_lp__a21oi_4 A1 A2 B1 VGND VNB VPB VPWR Y
X0 VPWR A1 a_28_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A2 a_28_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_28_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_28_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR A2 a_28_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A1 a_28_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_28_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_28_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_111_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VGND A2 a_111_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 Y B1 a_28_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_28_367# B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y B1 a_28_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y A1 a_111_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_111_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_28_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 a_111_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 Y A1 a_111_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 VGND A2 a_111_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_111_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends