NAME

a21bo from sky130_fd_sc_lp

DESCRIPTION

2-input AND into first input of 2-input OR, 2nd input inverted.

FUNCTION

X = ((A1 & A2) | (!B1_N))

VERILOG

"sky130_fd_sc_lp__a21bo"
/*
*/


`ifndef SKY130_FD_SC_LP__A21BO_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A21BO_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a21bo (
    X   ,
    A1  ,
    A2  ,
    B1_N
);

    // Module ports
    output X   ;
    input  A1  ;
    input  A2  ;
    input  B1_N;

    // Local signals
    wire nand0_out  ;
    wire nand1_out_X;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out  , A2, A1         );
    nand nand1 (nand1_out_X, B1_N, nand0_out);
    buf  buf0  (X          , nand1_out_X    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A21BO_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a21bo_m

not to scale



.subckt sky130_fd_sc_lp__a21bo_m A1 A2 B1_N VGND VNB VPB VPWR X
X0 VGND B1_N a_196_98# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_80_72# a_196_98# a_419_439# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 X a_80_72# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_419_439# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR B1_N a_196_98# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_499_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR A2 a_419_439# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 X a_80_72# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VGND a_196_98# a_80_72# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_80_72# A1 a_499_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a21bo_0

not to scale



.subckt sky130_fd_sc_lp__a21bo_0 A1 A2 B1_N VGND VNB VPB VPWR X
X0 VGND B1_N a_216_526# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR A2 a_467_458# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_467_458# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 VPWR B1_N a_216_526# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 X a_72_212# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_533_52# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_216_526# a_72_212# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_72_212# A1 a_533_52# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 X a_72_212# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_72_212# a_216_526# a_467_458# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a21bo_1

not to scale



.subckt sky130_fd_sc_lp__a21bo_1 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_436_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_80_43# A1 a_556_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_80_43# a_237_367# a_436_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_556_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 X a_80_43# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND B1_N a_237_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR A2 a_436_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_80_43# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR B1_N a_237_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND a_237_367# a_80_43# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a21bo_2

not to scale


.subckt sky130_fd_sc_lp__a21bo_2 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_508_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND a_304_153# a_22_259# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_22_259# A1 a_594_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND B1_N a_304_153# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR B1_N a_304_153# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 X a_22_259# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 X a_22_259# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR a_22_259# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A2 a_508_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_22_259# a_304_153# a_508_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND a_22_259# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_594_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a21bo_4

not to scale


.subckt sky130_fd_sc_lp__a21bo_4 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_188_315# a_42_47# a_645_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 X a_188_315# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND a_188_315# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_188_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_645_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 X a_188_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR a_188_315# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_42_47# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND a_42_47# a_188_315# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_188_315# A1 a_908_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_42_47# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_188_315# a_42_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_908_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 X a_188_315# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VGND A2 a_908_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_645_367# a_42_47# a_188_315# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_908_47# A1 a_188_315# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VPWR a_188_315# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR A2 a_645_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_645_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VPWR A1 a_645_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VGND a_188_315# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends