NAME

a211oi from sky130_fd_sc_lp

DESCRIPTION

2-input AND into first input of 3-input NOR.

FUNCTION

Y = !((A1 & A2) | B1 | C1)

VERILOG

"sky130_fd_sc_lp__a211oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A211OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A211OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a211oi (
    Y ,
    A1,
    A2,
    B1,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A1, A2          );
    nor nor0 (nor0_out_Y, and0_out, B1, C1);
    buf buf0 (Y         , nor0_out_Y      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A211OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a211oi_m

not to scale



.subckt sky130_fd_sc_lp__a211oi_m A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 a_27_369# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_110_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND A2 a_110_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_314_369# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR A1 a_27_369# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_27_369# B1 a_314_369# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a211oi_0

not to scale



.subckt sky130_fd_sc_lp__a211oi_0 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 a_57_483# B1 a_312_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VGND A2 a_148_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_148_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_57_483# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_312_483# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VPWR A1 a_57_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a211oi_1

not to scale



.subckt sky130_fd_sc_lp__a211oi_1 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 a_110_49# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A2 a_110_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_27_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_27_367# B1 a_326_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_326_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR A1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a211oi_2

not to scale


.subckt sky130_fd_sc_lp__a211oi_2 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 VPWR A1 a_296_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_296_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_296_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_41_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_41_367# B1 a_296_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Y C1 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND A2 a_489_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_489_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_296_367# B1 a_41_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_489_65# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR A2 a_296_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 Y A1 a_489_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a211oi_4

not to scale


.subckt sky130_fd_sc_lp__a211oi_4 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 Y A1 a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_114_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_826_367# B1 a_45_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A2 a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_826_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y A1 a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR A1 a_45_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_45_367# B1 a_826_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_45_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_826_367# B1 a_45_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_826_367# C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y C1 a_826_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_45_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_114_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 VPWR A2 a_45_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_45_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 Y C1 a_826_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VPWR A2 a_45_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 VGND A2 a_114_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_114_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_114_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 VPWR A1 a_45_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_45_367# B1 a_826_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 a_45_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends