NAME

a211o from sky130_fd_sc_lp

DESCRIPTION

2-input AND into first input of 3-input OR.

FUNCTION

X = ((A1 & A2) | B1 | C1)

VERILOG

"sky130_fd_sc_lp__a211o"
/*
*/


`ifndef SKY130_FD_SC_LP__A211O_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A211O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a211o (
    X ,
    A1,
    A2,
    B1,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A1, A2          );
    or  or0  (or0_out_X, and0_out, C1, B1);
    buf buf0 (X        , or0_out_X       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A211O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a211o_m

not to scale



.subckt sky130_fd_sc_lp__a211o_m A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 VGND C1 a_82_483# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND A2 a_322_145# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_82_483# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 X a_82_483# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_225_389# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR A1 a_225_389# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 X a_82_483# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_225_389# B1 a_480_389# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_480_389# C1 a_82_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_322_145# A1 a_82_483# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a211o_0

not to scale



.subckt sky130_fd_sc_lp__a211o_0 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 X a_80_172# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_265_60# A1 a_80_172# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND A2 a_265_60# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 X a_80_172# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_80_172# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR A1 a_224_482# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_224_482# B1 a_487_482# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_487_482# C1 a_80_172# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VGND C1 a_80_172# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_224_482# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a211o_1

not to scale



.subckt sky130_fd_sc_lp__a211o_1 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 a_80_237# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A2 a_294_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND C1 a_80_237# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VPWR A1 a_217_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 X a_80_237# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_504_367# C1 a_80_237# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_217_367# B1 a_504_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_294_47# A1 a_80_237# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 X a_80_237# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_217_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a211o_2

not to scale


.subckt sky130_fd_sc_lp__a211o_2 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 a_386_47# A1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_80_21# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND C1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND a_80_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND A2 a_386_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR a_80_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_590_367# C1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A1 a_303_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_303_367# B1 a_590_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_303_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a211o_4

not to scale


.subckt sky130_fd_sc_lp__a211o_4 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 VPWR A2 a_527_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND B1 a_103_263# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND a_103_263# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND A2 a_1006_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 X a_103_263# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR a_103_263# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_527_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_103_263# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_610_367# B1 a_527_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_610_367# C1 a_103_263# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_527_367# B1 a_610_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR a_103_263# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 X a_103_263# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VGND C1 a_103_263# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_103_263# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_103_263# C1 a_610_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_1006_47# A1 a_103_263# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_527_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR A1 a_527_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VGND a_103_263# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 X a_103_263# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_103_263# A1 a_1006_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 X a_103_263# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_1006_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends