NAME

a2111oi from sky130_fd_sc_lp

DESCRIPTION

2-input AND into first input of 4-input NOR.

FUNCTION

Y = !((A1 & A2) | B1 | C1 | D1)

VERILOG

"sky130_fd_sc_lp__a2111oi"
/*
*/


`ifndef SKY130_FD_SC_LP__A2111OI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A2111OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a2111oi (
    Y ,
    A1,
    A2,
    B1,
    C1,
    D1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;
    input  D1;

    // Local signals
    wire and0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , A1, A2              );
    nor nor0 (nor0_out_Y, B1, C1, D1, and0_out);
    buf buf0 (Y         , nor0_out_Y          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A2111OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a2111oi_m

not to scale



.subckt sky130_fd_sc_lp__a2111oi_m A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 a_299_533# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 Y D1 a_155_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VPWR A2 a_299_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 Y A1 a_443_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_155_533# C1 a_227_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_227_533# B1 a_299_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_443_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a2111oi_0

not to scale



.subckt sky130_fd_sc_lp__a2111oi_0 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 Y A1 a_432_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_432_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_246_483# B1 a_318_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR A2 a_318_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_318_483# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 Y D1 a_174_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_174_483# C1 a_246_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a2111oi_1

not to scale



.subckt sky130_fd_sc_lp__a2111oi_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y D1 a_157_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_157_367# C1 a_253_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A2 a_343_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_499_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_253_367# B1 a_343_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_343_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y A1 a_499_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a2111oi_2

not to scale


.subckt sky130_fd_sc_lp__a2111oi_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 a_115_367# C1 a_32_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A2 a_467_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_684_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_467_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y D1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_467_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_32_367# C1 a_115_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_32_367# B1 a_467_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 Y D1 a_115_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_115_367# D1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VGND A2 a_684_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_684_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_467_367# B1 a_32_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR A1 a_467_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 Y A1 a_684_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a2111oi_4

not to scale


.subckt sky130_fd_sc_lp__a2111oi_4 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
X0 VPWR A2 a_819_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND A2 a_1201_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 Y D1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y D1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 Y C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_819_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_454_367# B1 a_819_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_819_367# B1 a_454_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_27_367# D1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_819_367# B1 a_454_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VGND B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_1201_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_27_367# C1 a_454_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_819_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 Y A1 a_1201_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_27_367# D1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 Y D1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_1201_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_1201_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_819_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_454_367# B1 a_819_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VPWR A2 a_819_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_27_367# C1 a_454_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 a_454_367# C1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_1201_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 VPWR A1 a_819_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_819_367# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 Y A1 a_1201_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 VGND A2 a_1201_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 VPWR A1 a_819_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X36 Y D1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X37 VGND D1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 VGND C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X39 a_454_367# C1 a_27_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends