NAME

a2111o from sky130_fd_sc_lp

DESCRIPTION

2-input AND into first input of 4-input OR.

FUNCTION

X = ((A1 & A2) | B1 | C1 | D1)

VERILOG

"sky130_fd_sc_lp__a2111o"
/*
*/


`ifndef SKY130_FD_SC_LP__A2111O_FUNCTIONAL_V
`define SKY130_FD_SC_LP__A2111O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__a2111o (
    X ,
    A1,
    A2,
    B1,
    C1,
    D1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;
    input  D1;

    // Local signals
    wire and0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A1, A2              );
    or  or0  (or0_out_X, C1, B1, and0_out, D1);
    buf buf0 (X        , or0_out_X           );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__A2111O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__a2111o_m

not to scale



.subckt sky130_fd_sc_lp__a2111o_m A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 X a_85_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_503_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A2 a_411_369# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND B1 a_85_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_85_21# A1 a_503_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_411_369# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_339_369# B1 a_411_369# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND D1 a_85_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 X a_85_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_85_21# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_85_21# D1 a_267_369# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_267_369# C1 a_339_369# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a2111o_0

not to scale



.subckt sky130_fd_sc_lp__a2111o_0 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_468_476# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_312_476# C1 a_390_476# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 VPWR A2 a_468_476# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_582_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 X a_80_159# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_80_159# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_390_476# B1 a_468_476# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND D1 a_80_159# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND B1 a_80_159# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_80_159# D1 a_312_476# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_80_159# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_80_159# A1 a_582_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__a2111o_1

not to scale



.subckt sky130_fd_sc_lp__a2111o_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_511_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_673_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_403_367# B1 a_511_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A2 a_511_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_325_367# C1 a_403_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_105_239# A1 a_673_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 X a_105_239# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_105_239# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_105_239# D1 a_325_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND D1 a_105_239# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_105_239# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND B1 a_105_239# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__a2111o_2

not to scale


.subckt sky130_fd_sc_lp__a2111o_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_86_275# D1 a_427_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_427_367# C1 a_499_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_86_275# A1 a_715_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND a_86_275# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_715_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND D1 a_86_275# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_86_275# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND B1 a_86_275# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR a_86_275# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR A2 a_607_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 X a_86_275# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 X a_86_275# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_607_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_499_367# B1 a_607_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__a2111o_4

not to scale


.subckt sky130_fd_sc_lp__a2111o_4 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 VPWR A2 a_511_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_77_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_63_367# C1 a_318_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_77_47# D1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A2 a_813_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_813_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A1 a_511_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_511_349# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR a_77_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 X a_77_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_511_349# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 X a_77_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_511_349# B1 a_318_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VGND B1 a_77_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_318_367# C1 a_63_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_63_367# D1 a_77_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_77_47# A1 a_813_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND D1 a_77_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_77_47# C1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VGND a_77_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 X a_77_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 X a_77_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_318_367# B1 a_511_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VGND C1 a_77_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 VGND a_77_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_77_47# D1 a_63_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_813_47# A1 a_77_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 VPWR a_77_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends