NAME

tapvgnd2 from sky130_fd_sc_hs

DESCRIPTION

Tap cell with tap to ground, isolated power connection 2 rows down.

FUNCTION

VERILOG

"sky130_fd_sc_hs__tapvgnd2"
/*
*/


`ifndef SKY130_FD_SC_HS__TAPVGND2_FUNCTIONAL_V
`define SKY130_FD_SC_HS__TAPVGND2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hs__tapvgnd2 (
    VGND,
    VPWR
);

    // Module ports
    input VGND;
    input VPWR;
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HS__TAPVGND2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hs__tapvgnd2_1

not to scale



.subckt sky130_fd_sc_hs__tapvgnd2_1 VGND VPB VPWR
.ends