NAME

tapmet1 from sky130_fd_sc_hs

DESCRIPTION

Tap cell with isolated power and ground connections.

FUNCTION

VERILOG

"sky130_fd_sc_hs__tapmet1"
/*
*/


`ifndef SKY130_FD_SC_HS__TAPMET1_FUNCTIONAL_V
`define SKY130_FD_SC_HS__TAPMET1_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hs__tapmet1 (
    VGND,
    VPWR
);

    // Module ports
    input VGND;
    input VPWR;
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HS__TAPMET1_FUNCTIONAL_V

SPICE

sky130_fd_sc_hs__tapmet1_2

not to scale


.subckt sky130_fd_sc_hs__tapmet1_2 VGND VPB VPWR
.ends