NAME

tap from sky130_fd_sc_hs

DESCRIPTION

Tap cell with no tap connections (no contacts on metal1).

FUNCTION

VERILOG

"sky130_fd_sc_hs__tap"
/*
*/


`ifndef SKY130_FD_SC_HS__TAP_FUNCTIONAL_V
`define SKY130_FD_SC_HS__TAP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hs__tap (
    VGND,
    VPWR
);

    // Module ports
    input VGND;
    input VPWR;
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HS__TAP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hs__tap_1

not to scale



.subckt sky130_fd_sc_hs__tap_1 VGND VNB VPB VPWR
.ends

sky130_fd_sc_hs__tap_2

not to scale


.subckt sky130_fd_sc_hs__tap_2 VGND VNB VPB VPWR
.ends