NAME

fill_diode from sky130_fd_sc_hs

DESCRIPTION

Fill diode.

FUNCTION

VERILOG

"sky130_fd_sc_hs__fill_diode"
/*
*/


`ifndef SKY130_FD_SC_HS__FILL_DIODE_FUNCTIONAL_V
`define SKY130_FD_SC_HS__FILL_DIODE_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hs__fill_diode ();

    // Module supplies
    supply1 VPWR;
    supply0 VGND;
    supply1 VPB ;
    supply0 VNB ;
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HS__FILL_DIODE_FUNCTIONAL_V

SPICE

sky130_fd_sc_hs__fill_diode_2

not to scale


.subckt sky130_fd_sc_hs__fill_diode_2 VGND VNB VPB VPWR
.ends

sky130_fd_sc_hs__fill_diode_4

not to scale


.subckt sky130_fd_sc_hs__fill_diode_4 VGND VNB VPB VPWR
.ends

sky130_fd_sc_hs__fill_diode_8

not to scale


.subckt sky130_fd_sc_hs__fill_diode_8 VGND VNB VPB VPWR
.ends