NAME

xor3 from sky130_fd_sc_hdll

DESCRIPTION

3-input exclusive OR.

FUNCTION

X = A ^ B ^ C

VERILOG

"sky130_fd_sc_hdll__xor3"
/*
*/


`ifndef SKY130_FD_SC_HDLL__XOR3_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__XOR3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__xor3 (
    X,
    A,
    B,
    C
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire xor0_out_X;

    //  Name  Output      Other arguments
    xor xor0 (xor0_out_X, A, B, C        );
    buf buf0 (X         , xor0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__XOR3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__xor3_1

not to scale



.subckt sky130_fd_sc_hdll__xor3_1 A B C VGND VNB VPB VPWR X
X0 a_991_365# B a_424_49# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_406_325# C a_116_21# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X2 a_406_325# a_875_297# a_991_365# VNB sky130_fd_pr__nfet_01v8 w=600000u l=150000u
X3 X a_116_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_991_365# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 a_406_325# a_875_297# a_1276_297# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X6 a_116_21# a_276_93# a_424_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X7 VPWR B a_875_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_424_49# a_875_297# a_1276_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_991_365# B a_406_325# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X10 VGND C a_276_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND a_991_365# a_1276_297# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_1276_297# B a_424_49# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X13 a_116_21# a_276_93# a_406_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 X a_116_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 VGND B a_875_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 a_991_365# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 VPWR C a_276_93# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X18 a_424_49# a_875_297# a_991_365# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X19 a_424_49# C a_116_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X20 VPWR a_991_365# a_1276_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 a_1276_297# B a_406_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_hdll__xor3_2

not to scale


.subckt sky130_fd_sc_hdll__xor3_2 A B C VGND VNB VPB VPWR X
X0 VPWR B a_934_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_1050_365# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_1335_297# B a_465_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_465_325# C a_81_21# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X4 a_1335_297# B a_483_49# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X5 a_483_49# C a_81_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_483_49# a_934_297# a_1335_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_81_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VGND C a_335_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_483_49# a_934_297# a_1050_365# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X10 a_81_21# a_335_93# a_483_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X11 VGND a_1050_365# a_1335_297# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_465_325# a_934_297# a_1050_365# VNB sky130_fd_pr__nfet_01v8 w=600000u l=150000u
X13 a_465_325# a_934_297# a_1335_297# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X14 a_1050_365# B a_465_325# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X15 a_1050_365# B a_483_49# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 VPWR a_1050_365# a_1335_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 X a_81_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 a_81_21# a_335_93# a_465_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_1050_365# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 VGND B a_934_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 VPWR C a_335_93# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X22 X a_81_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 VGND a_81_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__xor3_4

not to scale


.subckt sky130_fd_sc_hdll__xor3_4 A B C VGND VNB VPB VPWR X
X0 VGND a_80_207# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 a_1225_365# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_658_49# a_1109_297# a_1225_365# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X3 a_80_207# a_528_297# a_652_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 VPWR a_80_207# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_80_207# a_528_297# a_658_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X6 a_652_325# a_1109_297# a_1225_365# VNB sky130_fd_pr__nfet_01v8 w=600000u l=150000u
X7 X a_80_207# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VPWR a_1225_365# a_1510_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_1510_297# B a_652_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 X a_80_207# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_652_325# a_1109_297# a_1510_297# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X12 VPWR B a_1109_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 a_1225_365# B a_652_325# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X14 X a_80_207# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 VGND B a_1109_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 VGND a_80_207# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 a_652_325# C a_80_207# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X18 a_1510_297# B a_658_49# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X19 VGND C a_528_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_658_49# C a_80_207# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 a_1225_365# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 VPWR C a_528_297# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X23 VGND a_1225_365# a_1510_297# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 X a_80_207# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 a_658_49# a_1109_297# a_1510_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 VPWR a_80_207# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X27 a_1225_365# B a_658_49# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends