NAME

xnor3 from sky130_fd_sc_hdll

DESCRIPTION

3-input exclusive NOR.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__xnor3"
/*
*/


`ifndef SKY130_FD_SC_HDLL__XNOR3_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__XNOR3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__xnor3 (
    X,
    A,
    B,
    C
);

    // Module ports
    output X;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire xnor0_out_X;

    //   Name   Output       Other arguments
    xnor xnor0 (xnor0_out_X, A, B, C        );
    buf  buf0  (X          , xnor0_out_X    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__XNOR3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__xnor3_1

not to scale



.subckt sky130_fd_sc_hdll__xnor3_1 A B C VGND VNB VPB VPWR X
X0 VGND C a_226_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND a_901_297# a_1184_297# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_1184_297# B a_351_325# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X3 a_901_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_901_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_351_325# a_783_297# a_901_297# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X6 a_351_325# a_783_297# a_1184_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 X a_83_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 VGND B a_783_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VPWR a_901_297# a_1184_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 X a_83_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 VPWR C a_226_93# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X12 a_901_297# B a_375_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X13 a_375_49# C a_83_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_375_49# a_783_297# a_1184_297# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X15 a_1184_297# B a_375_49# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_83_21# a_226_93# a_351_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 a_351_325# C a_83_21# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X18 a_83_21# a_226_93# a_375_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X19 VPWR B a_783_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 a_901_297# B a_351_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 a_375_49# a_783_297# a_901_297# VNB sky130_fd_pr__nfet_01v8 w=600000u l=150000u
.ends

sky130_fd_sc_hdll__xnor3_2

not to scale


.subckt sky130_fd_sc_hdll__xnor3_2 A B C VGND VNB VPB VPWR X
X0 a_477_49# a_885_297# a_1003_297# VNB sky130_fd_pr__nfet_01v8 w=600000u l=150000u
X1 a_79_21# a_328_93# a_453_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_1286_297# B a_453_325# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X3 a_79_21# a_328_93# a_477_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X4 VGND C a_328_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_477_49# a_885_297# a_1286_297# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X6 a_1003_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 VPWR a_79_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VPWR B a_885_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 X a_79_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_477_49# C a_79_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_453_325# a_885_297# a_1286_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_453_325# C a_79_21# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X13 VPWR a_1003_297# a_1286_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 a_1003_297# B a_477_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X15 a_1003_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 VPWR C a_328_93# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X17 a_1003_297# B a_453_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_453_325# a_885_297# a_1003_297# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X19 VGND a_79_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 a_1286_297# B a_477_49# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X21 VGND B a_885_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 VGND a_1003_297# a_1286_297# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X23 X a_79_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__xnor3_4

not to scale


.subckt sky130_fd_sc_hdll__xnor3_4 A B C VGND VNB VPB VPWR X
X0 a_1207_297# B a_657_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_101_21# a_532_93# a_681_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X2 a_657_325# C a_101_21# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X3 a_1490_297# B a_657_325# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X4 X a_101_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 VPWR a_1207_297# a_1490_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_1207_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 a_101_21# a_532_93# a_657_325# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 VGND B a_1089_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VPWR C a_532_93# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X10 VPWR a_101_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_657_325# a_1089_297# a_1490_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 X a_101_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 a_1207_297# B a_681_49# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X14 VGND a_101_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 VGND a_101_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 a_1207_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_681_49# C a_101_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_657_325# a_1089_297# a_1207_297# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X19 X a_101_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 X a_101_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 VGND C a_532_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 VPWR a_101_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X23 a_681_49# a_1089_297# a_1207_297# VNB sky130_fd_pr__nfet_01v8 w=600000u l=150000u
X24 a_1490_297# B a_681_49# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 a_681_49# a_1089_297# a_1490_297# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X26 VGND a_1207_297# a_1490_297# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 VPWR B a_1089_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends