NAME

sedfxbp from sky130_fd_sc_hdll

DESCRIPTION

Scan delay flop, data enable, non-inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__sedfxbp"
/*
*/


`ifndef SKY130_FD_SC_HDLL__SEDFXBP_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__SEDFXBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_hdll__udp_mux_2to1.v"
`include "../../models/udp_dff_p/sky130_fd_sc_hdll__udp_dff_p.v"

`celldefine
module sky130_fd_sc_hdll__sedfxbp (
    Q  ,
    Q_N,
    CLK,
    D  ,
    DE ,
    SCD,
    SCE
);

    // Module ports
    output Q  ;
    output Q_N;
    input  CLK;
    input  D  ;
    input  DE ;
    input  SCD;
    input  SCE;

    // Local signals
    wire buf_Q  ;
    wire mux_out;
    wire de_d   ;

    //                              Delay       Name       Output   Other arguments
    sky130_fd_sc_hdll__udp_mux_2to1             mux_2to10 (mux_out, de_d, SCD, SCE );
    sky130_fd_sc_hdll__udp_mux_2to1             mux_2to11 (de_d   , buf_Q, D, DE   );
    sky130_fd_sc_hdll__udp_dff$P    `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK   );
    buf                                         buf0      (Q      , buf_Q          );
    not                                         not0      (Q_N    , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__SEDFXBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__sedfxbp_1

not to scale



.subckt sky130_fd_sc_hdll__sedfxbp_1 CLK D DE SCD SCE VGND VNB VPB VPWR Q Q_N
X0 VGND a_1787_159# a_2181_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_455_324# DE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X2 VPWR a_1611_413# a_1787_159# VPB sky130_fd_pr__pfet_01v8_hvt w=750000u l=180000u
X3 a_955_21# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X5 VGND SCD a_1373_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_2266_413# a_211_363# a_2360_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X7 a_2266_413# a_27_47# a_2391_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X8 VPWR DE a_787_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X9 a_787_369# a_851_264# a_319_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X10 a_413_47# DE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND a_27_47# a_211_363# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VGND a_455_324# a_779_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_985_47# a_27_47# a_1611_413# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X14 a_1738_47# a_1787_159# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 VPWR SCD a_1376_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X16 a_985_47# a_211_363# a_1611_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X17 VGND a_851_264# Q_N VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 a_2165_413# a_27_47# a_2266_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X19 a_2391_47# a_851_264# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VGND a_1611_413# a_1787_159# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_319_47# SCE a_985_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X23 VGND a_2266_413# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X24 a_455_324# DE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VPWR a_27_47# a_211_363# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X26 a_1611_413# a_27_47# a_1712_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X27 a_319_47# D a_413_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 a_319_47# a_955_21# a_985_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_1611_413# a_211_363# a_1738_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X30 a_409_369# a_455_324# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X31 a_955_21# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X32 a_851_264# a_2266_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X33 a_2181_47# a_211_363# a_2266_413# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X34 a_779_47# a_851_264# a_319_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 VPWR a_2266_413# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X36 a_1712_413# a_1787_159# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X37 a_851_264# a_2266_413# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X38 a_2360_413# a_851_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X39 a_319_47# D a_409_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X40 VPWR a_1787_159# a_2165_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X41 a_1376_369# a_955_21# a_985_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X42 a_1373_119# SCE a_985_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X43 VPWR a_851_264# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__sedfxbp_2

not to scale


.subckt sky130_fd_sc_hdll__sedfxbp_2 CLK D DE SCD SCE VGND VNB VPB VPWR Q Q_N
X0 VGND a_1787_159# a_2181_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND a_2266_413# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_455_324# DE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X3 VPWR a_1611_413# a_1787_159# VPB sky130_fd_pr__pfet_01v8_hvt w=750000u l=180000u
X4 Q_N a_851_264# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_955_21# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X7 VGND SCD a_1373_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_2266_413# a_211_363# a_2360_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X9 a_851_264# a_2266_413# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR DE a_787_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X11 VPWR a_2266_413# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 a_787_369# a_851_264# a_319_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X13 a_2414_47# a_851_264# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_413_47# DE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 VGND a_27_47# a_211_363# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VGND a_455_324# a_779_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_985_47# a_27_47# a_1611_413# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X18 a_1738_47# a_1787_159# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_851_264# a_2266_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X20 VPWR SCD a_1376_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X21 a_985_47# a_211_363# a_1611_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X22 Q a_2266_413# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 a_2165_413# a_27_47# a_2266_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X24 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VGND a_1611_413# a_1787_159# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X26 a_319_47# SCE a_985_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X27 Q_N a_851_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X28 a_455_324# DE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 VGND a_851_264# Q_N VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 VPWR a_27_47# a_211_363# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X31 a_1611_413# a_27_47# a_1712_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X32 a_319_47# D a_413_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 a_319_47# a_955_21# a_985_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_1611_413# a_211_363# a_1738_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X35 a_409_369# a_455_324# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X36 a_955_21# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X37 a_2181_47# a_211_363# a_2266_413# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X38 a_779_47# a_851_264# a_319_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 a_1712_413# a_1787_159# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X40 a_2360_413# a_851_264# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X41 Q a_2266_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X42 a_2266_413# a_27_47# a_2414_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X43 a_319_47# D a_409_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X44 VPWR a_1787_159# a_2165_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X45 a_1376_369# a_955_21# a_985_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X46 a_1373_119# SCE a_985_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X47 VPWR a_851_264# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends