NAME

sdlclkp from sky130_fd_sc_hdll

DESCRIPTION

Scan gated clock.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__sdlclkp"
/*
*/


`ifndef SKY130_FD_SC_HDLL__SDLCLKP_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__SDLCLKP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_hdll__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_hdll__sdlclkp (
    GCLK,
    SCE ,
    GATE,
    CLK
);

    // Module ports
    output GCLK;
    input  SCE ;
    input  GATE;
    input  CLK ;

    // Local signals
    wire m0      ;
    wire m0n     ;
    wire clkn    ;
    wire SCE_GATE;

    //                              Name     Output    Other arguments
    not                             not0    (m0n     , m0             );
    not                             not1    (clkn    , CLK            );
    nor                             nor0    (SCE_GATE, GATE, SCE      );
    sky130_fd_sc_hdll__udp_dlatch$P dlatch0 (m0      , SCE_GATE, clkn );
    and                             and0    (GCLK    , m0n, CLK       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__SDLCLKP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__sdlclkp_1

not to scale



.subckt sky130_fd_sc_hdll__sdlclkp_1 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 VPWR SCE a_117_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X1 a_410_413# a_484_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 VGND a_299_47# a_484_315# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_299_47# a_266_243# a_415_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X4 VPWR a_299_47# a_484_315# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VPWR a_484_315# a_1089_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X6 a_27_47# a_266_243# a_299_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X7 VPWR CLK a_269_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X8 a_266_243# a_269_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND GATE a_27_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_266_243# a_269_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X11 a_415_47# a_484_315# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VGND CLK a_269_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_27_47# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_27_47# a_269_21# a_299_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X15 a_117_369# GATE a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X16 a_1089_47# a_484_315# a_1181_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR a_1089_47# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 VGND a_1089_47# GCLK VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_1089_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X20 a_1181_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_299_47# a_269_21# a_410_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__sdlclkp_2

not to scale


.subckt sky130_fd_sc_hdll__sdlclkp_2 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 VPWR SCE a_117_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X1 a_410_413# a_484_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 VGND a_299_47# a_484_315# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_299_47# a_266_243# a_415_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X4 VPWR a_299_47# a_484_315# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 GCLK a_1093_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 a_27_47# a_266_243# a_299_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X7 VPWR CLK a_269_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X8 VGND GATE a_27_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_1093_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X10 a_1185_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_484_315# a_1093_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X12 a_266_243# a_269_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X13 VGND a_1093_47# GCLK VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_415_47# a_484_315# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_27_47# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_27_47# a_269_21# a_299_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X17 a_1093_47# a_484_315# a_1185_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VGND CLK a_269_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_117_369# GATE a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X20 GCLK a_1093_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 VPWR a_1093_47# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X22 a_299_47# a_269_21# a_410_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X23 a_266_243# a_269_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__sdlclkp_4

not to scale


.subckt sky130_fd_sc_hdll__sdlclkp_4 CLK GATE SCE VGND VNB VPB VPWR GCLK
X0 VPWR SCE a_117_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X1 a_1217_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_421_413# a_505_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 GCLK a_1125_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 GCLK a_1125_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND a_1125_47# GCLK VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VPWR a_1125_47# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VGND GATE a_27_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND a_1125_47# GCLK VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_1125_47# a_505_315# a_1217_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VGND CLK a_280_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_310_47# a_505_315# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 a_27_47# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VPWR CLK a_280_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X14 a_117_369# GATE a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X15 GCLK a_1125_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 GCLK a_1125_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 a_310_47# a_280_21# a_421_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X18 a_425_47# a_505_315# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_277_243# a_280_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X20 a_277_243# a_280_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_1125_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X22 a_27_47# a_280_21# a_310_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X23 VPWR a_1125_47# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 a_310_47# a_277_243# a_425_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X25 VGND a_310_47# a_505_315# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 VPWR a_505_315# a_1125_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X27 a_27_47# a_277_243# a_310_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends