NAME

sdfxtp from sky130_fd_sc_hdll

DESCRIPTION

Scan delay flop, non-inverted clock, single output.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__sdfxtp"
/*
*/


`ifndef SKY130_FD_SC_HDLL__SDFXTP_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__SDFXTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_hdll__udp_mux_2to1.v"
`include "../../models/udp_dff_p/sky130_fd_sc_hdll__udp_dff_p.v"

`celldefine
module sky130_fd_sc_hdll__sdfxtp (
    Q  ,
    CLK,
    D  ,
    SCD,
    SCE
);

    // Module ports
    output Q  ;
    input  CLK;
    input  D  ;
    input  SCD;
    input  SCE;

    // Local signals
    wire buf_Q  ;
    wire mux_out;

    //                              Delay       Name       Output   Other arguments
    sky130_fd_sc_hdll__udp_mux_2to1             mux_2to10 (mux_out, D, SCD, SCE    );
    sky130_fd_sc_hdll__udp_dff$P    `UNIT_DELAY dff0      (buf_Q  , mux_out, CLK   );
    buf                                         buf0      (Q      , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__SDFXTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__sdfxtp_1

not to scale



.subckt sky130_fd_sc_hdll__sdfxtp_1 CLK D SCD SCE VGND VNB VPB VPWR Q
X0 a_1011_47# a_27_47# a_1121_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 a_1647_21# a_1474_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_1647_21# a_1474_413# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_608_369# SCE a_721_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X5 a_1189_21# a_27_47# a_1474_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X6 a_1474_413# a_203_47# a_1570_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X7 a_608_369# a_27_47# a_1011_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X8 a_504_369# D a_608_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X9 a_702_369# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X10 VGND a_319_47# a_507_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_507_47# D a_608_369# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VGND a_1011_47# a_1189_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_1474_413# a_27_47# a_1581_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X14 a_319_47# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 VGND a_27_47# a_203_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_1570_413# a_1647_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X17 a_1189_21# a_203_47# a_1474_413# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X18 a_721_47# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_1581_47# a_1647_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR SCE a_504_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X21 VPWR a_1011_47# a_1189_21# VPB sky130_fd_pr__pfet_01v8_hvt w=750000u l=180000u
X22 VGND a_1647_21# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 VPWR a_1647_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 VPWR a_27_47# a_203_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X25 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_1011_47# a_203_47# a_1117_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X27 a_1121_413# a_1189_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X28 a_1117_47# a_1189_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_319_47# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X30 a_608_369# a_319_47# a_702_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X31 a_608_369# a_203_47# a_1011_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__sdfxtp_2

not to scale


.subckt sky130_fd_sc_hdll__sdfxtp_2 CLK D SCD SCE VGND VNB VPB VPWR Q
X0 a_1011_47# a_27_47# a_1121_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 a_1568_413# a_1667_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 a_608_369# SCE a_721_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_319_47# a_517_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1474_413# a_203_47# a_1568_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X5 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X6 a_1127_47# a_1189_183# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_1189_183# a_27_47# a_1474_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X8 VPWR a_1667_315# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_319_47# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 Q a_1667_315# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_608_369# a_27_47# a_1011_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X12 a_1189_183# a_203_47# a_1474_413# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X13 VGND a_1667_315# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_504_369# D a_608_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X15 a_702_369# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X16 a_517_47# D a_608_369# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VGND a_27_47# a_203_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_721_47# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_1474_413# a_27_47# a_1625_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X20 a_1011_47# a_203_47# a_1127_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X21 VPWR SCE a_504_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X22 a_1667_315# a_1474_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X23 a_1625_47# a_1667_315# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_1667_315# a_1474_413# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X25 VPWR a_27_47# a_203_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X26 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 Q a_1667_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X28 VPWR a_1011_47# a_1189_183# VPB sky130_fd_pr__pfet_01v8_hvt w=750000u l=180000u
X29 a_1121_413# a_1189_183# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X30 VGND a_1011_47# a_1189_183# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X31 a_319_47# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X32 a_608_369# a_319_47# a_702_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X33 a_608_369# a_203_47# a_1011_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__sdfxtp_4

not to scale


.subckt sky130_fd_sc_hdll__sdfxtp_4 CLK D SCD SCE VGND VNB VPB VPWR Q
X0 a_1011_47# a_27_47# a_1121_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 a_1568_413# a_1667_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 a_608_369# SCE a_721_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_319_47# a_517_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1474_413# a_203_47# a_1568_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X5 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X6 a_1127_47# a_1189_183# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_1189_183# a_27_47# a_1474_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X8 VPWR a_1667_315# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_319_47# SCE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_608_369# a_27_47# a_1011_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X11 a_1189_183# a_203_47# a_1474_413# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X12 VGND a_1667_315# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 VGND a_1667_315# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_504_369# D a_608_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X15 a_702_369# SCD VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X16 a_517_47# D a_608_369# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 Q a_1667_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 Q a_1667_315# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 VGND a_27_47# a_203_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_721_47# SCD VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_1474_413# a_27_47# a_1625_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X22 a_1011_47# a_203_47# a_1127_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X23 VPWR SCE a_504_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X24 a_1667_315# a_1474_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 a_1625_47# a_1667_315# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_1667_315# a_1474_413# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 VPWR a_27_47# a_203_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X28 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 Q a_1667_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X30 VPWR a_1667_315# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X31 Q a_1667_315# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X32 VPWR a_1011_47# a_1189_183# VPB sky130_fd_pr__pfet_01v8_hvt w=750000u l=180000u
X33 a_1121_413# a_1189_183# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X34 VGND a_1011_47# a_1189_183# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X35 a_319_47# SCE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X36 a_608_369# a_319_47# a_702_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X37 a_608_369# a_203_47# a_1011_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends