NAME

or4bb from sky130_fd_sc_hdll

DESCRIPTION

4-input OR, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__or4bb"
/*
*/


`ifndef SKY130_FD_SC_HDLL__OR4BB_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__OR4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__or4bb (
    X  ,
    A  ,
    B  ,
    C_N,
    D_N
);

    // Module ports
    output X  ;
    input  A  ;
    input  B  ;
    input  C_N;
    input  D_N;

    // Local signals
    wire nand0_out;
    wire or0_out_X;

    //   Name   Output     Other arguments
    nand nand0 (nand0_out, D_N, C_N       );
    or   or0   (or0_out_X, B, A, nand0_out);
    buf  buf0  (X        , or0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__OR4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__or4bb_1

not to scale



.subckt sky130_fd_sc_hdll__or4bb_1 A B C_N D_N VGND VNB VPB VPWR X
X0 a_331_413# a_27_410# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_27_410# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND D_N a_216_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR a_331_413# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_331_413# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND a_331_413# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VGND a_216_93# a_331_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_527_297# B a_609_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X8 a_27_410# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X9 VGND B a_331_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR D_N a_216_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 a_609_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X12 a_331_413# a_216_93# a_421_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X13 a_421_413# a_27_410# a_527_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__or4bb_2

not to scale


.subckt sky130_fd_sc_hdll__or4bb_2 A B C_N D_N VGND VNB VPB VPWR X
X0 VGND a_216_93# a_336_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_426_413# a_27_410# a_532_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 VGND B a_336_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND D_N a_216_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_336_413# a_216_93# a_426_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X5 X a_336_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 X a_336_413# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_336_413# a_27_410# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_27_410# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X9 VPWR D_N a_216_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X10 a_336_413# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_27_410# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR a_336_413# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 VGND a_336_413# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_532_297# B a_614_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X15 a_614_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__or4bb_4

not to scale


.subckt sky130_fd_sc_hdll__or4bb_4 A B C_N D_N VGND VNB VPB VPWR X
X0 a_27_410# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_335_297# a_224_297# a_425_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_335_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 X a_335_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VGND D_N a_224_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_335_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VGND a_224_297# a_335_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_335_297# a_27_410# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_625_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_27_410# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X10 VGND a_335_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_531_297# B a_625_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VPWR D_N a_224_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X13 VGND B a_335_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 X a_335_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 X a_335_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 VGND a_335_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 VPWR a_335_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 X a_335_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_425_297# a_27_410# a_531_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends