NAME

or4b from sky130_fd_sc_hdll

DESCRIPTION

4-input OR, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__or4b"
/*
*/


`ifndef SKY130_FD_SC_HDLL__OR4B_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__OR4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__or4b (
    X  ,
    A  ,
    B  ,
    C  ,
    D_N
);

    // Module ports
    output X  ;
    input  A  ;
    input  B  ;
    input  C  ;
    input  D_N;

    // Local signals
    wire not0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    not not0 (not0_out , D_N              );
    or  or0  (or0_out_X, not0_out, C, B, A);
    buf buf0 (X        , or0_out_X        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__OR4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__or4b_1

not to scale



.subckt sky130_fd_sc_hdll__or4b_1 A B C D_N VGND VNB VPB VPWR X
X0 a_225_297# C VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_504_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 a_225_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_225_297# a_117_297# a_315_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X4 VPWR D_N a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X5 VGND D_N a_117_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_225_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 VGND B a_225_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND a_117_297# a_225_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_315_297# C a_416_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X10 a_416_297# B a_504_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 VPWR a_225_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__or4b_2

not to scale


.subckt sky130_fd_sc_hdll__or4b_2 A B C D_N VGND VNB VPB VPWR X
X0 VGND C a_186_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_186_21# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A a_425_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 a_27_47# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X4 a_186_21# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND a_186_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VGND A a_186_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_615_297# a_27_47# a_186_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X8 a_27_47# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 X a_186_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 VPWR a_186_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_531_297# C a_615_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X12 X a_186_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_425_297# B a_531_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__or4b_4

not to scale


.subckt sky130_fd_sc_hdll__or4b_4 A B C D_N VGND VNB VPB VPWR X
X0 VPWR D_N a_117_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 X a_225_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_225_297# a_117_413# a_315_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 VPWR a_225_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VGND a_225_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_525_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VGND D_N a_117_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_431_297# B a_525_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VGND a_225_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 X a_225_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 VPWR a_225_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_225_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_315_297# C a_431_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 X a_225_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VGND a_117_413# a_225_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 a_225_297# C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 X a_225_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 VGND B a_225_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends