NAME

o2bb2a from sky130_fd_sc_hdll

DESCRIPTION

2-input NAND and 2-input OR into 2-input AND.

FUNCTION

X = (!(A1 & A2) & (B1 | B2))

VERILOG

"sky130_fd_sc_hdll__o2bb2a"
/*
*/


`ifndef SKY130_FD_SC_HDLL__O2BB2A_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__O2BB2A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__o2bb2a (
    X   ,
    A1_N,
    A2_N,
    B1  ,
    B2
);

    // Module ports
    output X   ;
    input  A1_N;
    input  A2_N;
    input  B1  ;
    input  B2  ;

    // Local signals
    wire nand0_out ;
    wire or0_out   ;
    wire and0_out_X;

    //   Name   Output      Other arguments
    nand nand0 (nand0_out , A2_N, A1_N        );
    or   or0   (or0_out   , B2, B1            );
    and  and0  (and0_out_X, nand0_out, or0_out);
    buf  buf0  (X         , and0_out_X        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__O2BB2A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__o2bb2a_1

not to scale



.subckt sky130_fd_sc_hdll__o2bb2a_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_633_369# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 VGND B1 a_529_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_76_199# B2 a_633_369# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 X a_76_199# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_76_199# a_224_369# a_529_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR A1_N a_224_369# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X6 a_225_47# A2_N a_224_369# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 X a_76_199# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 VGND A1_N a_225_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_224_369# a_76_199# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X10 a_224_369# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 a_529_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__o2bb2a_2

not to scale


.subckt sky130_fd_sc_hdll__o2bb2a_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 VGND A1_N a_313_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 X a_84_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VGND a_84_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND B1 a_627_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 X a_84_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_723_369# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X6 VPWR a_321_369# a_84_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X7 VPWR a_84_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VPWR A1_N a_321_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X9 a_84_21# B2 a_723_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X10 a_84_21# a_321_369# a_627_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_313_47# A2_N a_321_369# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_627_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_321_369# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
.ends

sky130_fd_sc_hdll__o2bb2a_4

not to scale


.subckt sky130_fd_sc_hdll__o2bb2a_4 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 VPWR a_455_21# a_211_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VPWR A1_N a_455_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_27_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_211_297# a_455_21# a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 X a_211_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_787_47# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VPWR B1 a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 a_455_21# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_27_47# a_455_21# a_211_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_117_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_787_47# A2_N a_455_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 X a_211_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_211_297# B2 a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 X a_211_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VPWR a_211_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 VGND B1 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 X a_211_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 VGND B2 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 VPWR A2_N a_455_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 a_27_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 a_117_297# B2 a_211_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 VGND A1_N a_787_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 VGND a_211_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 VPWR a_211_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 a_455_21# A2_N a_787_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X25 VGND a_211_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 a_211_297# a_455_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X27 a_455_21# A2_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends