NAME

o221ai from sky130_fd_sc_hdll

DESCRIPTION

2-input OR into first two inputs of 3-input NAND.

FUNCTION

Y = !((A1 | A2) & (B1 | B2) & C1)

VERILOG

"sky130_fd_sc_hdll__o221ai"
/*
*/


`ifndef SKY130_FD_SC_HDLL__O221AI_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__O221AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__o221ai (
    Y ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire or0_out    ;
    wire or1_out    ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    or   or0   (or0_out    , B2, B1              );
    or   or1   (or1_out    , A2, A1              );
    nand nand0 (nand0_out_Y, or1_out, or0_out, C1);
    buf  buf0  (Y          , nand0_out_Y         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__O221AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__o221ai_1

not to scale



.subckt sky130_fd_sc_hdll__o221ai_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 VGND A1 a_261_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 Y C1 a_123_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_569_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_261_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 Y A2 a_569_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_123_47# B2 a_261_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 VPWR B1 a_351_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_261_47# B1 a_123_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_351_297# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__o221ai_2

not to scale


.subckt sky130_fd_sc_hdll__o221ai_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_28_47# C1 Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 Y C1 a_28_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_320_47# B2 a_28_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND A2 a_320_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VPWR B1 a_410_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_320_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 a_802_297# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_802_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR A1 a_802_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_320_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_410_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VGND A1 a_320_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 Y B2 a_410_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 a_28_47# B1 a_320_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_410_297# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_28_47# B2 a_320_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 Y A2 a_802_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 a_320_47# B1 a_28_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__o221ai_4

not to scale


.subckt sky130_fd_sc_hdll__o221ai_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
X0 a_601_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VPWR A1 a_1369_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 Y B2 a_601_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 Y B2 a_601_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_601_297# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_27_47# C1 Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 Y C1 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 VGND A2 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_1369_297# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_511_47# B1 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_27_47# B2 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 VGND A1 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 Y C1 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_511_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VGND A2 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 a_511_47# B1 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 a_601_297# B2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_511_47# B2 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 VGND A1 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 VPWR B1 a_601_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X22 a_601_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X23 a_511_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X24 Y A2 a_1369_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 a_27_47# C1 Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 a_1369_297# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X27 a_1369_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X28 a_511_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X29 a_27_47# B1 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X31 VPWR B1 a_601_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X32 Y A2 a_1369_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X33 a_511_47# B2 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X34 a_511_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X35 a_1369_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X36 a_27_47# B1 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X37 a_27_47# B2 a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X38 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X39 VPWR A1 a_1369_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends