NAME

o221a from sky130_fd_sc_hdll

DESCRIPTION

2-input OR into first two inputs of 3-input AND.

FUNCTION

X = ((A1 | A2) & (B1 | B2) & C1)

VERILOG

"sky130_fd_sc_hdll__o221a"
/*
*/


`ifndef SKY130_FD_SC_HDLL__O221A_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__O221A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__o221a (
    X ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire or0_out   ;
    wire or1_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , B2, B1              );
    or  or1  (or1_out   , A2, A1              );
    and and0 (and0_out_X, or0_out, or1_out, C1);
    buf buf0 (X         , and0_out_X          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__O221A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__o221a_1

not to scale



.subckt sky130_fd_sc_hdll__o221a_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 VPWR B1 a_228_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VGND A2 a_230_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VPWR a_27_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_230_47# B2 a_124_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_124_47# B1 a_230_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_27_297# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VGND a_27_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_27_297# A2 a_515_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_230_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_515_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_27_297# C1 a_124_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_228_297# B2 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__o221a_2

not to scale


.subckt sky130_fd_sc_hdll__o221a_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_38_47# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_38_47# C1 a_151_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VGND a_38_47# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_38_47# A2 a_535_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_535_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND A2 a_245_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 a_245_47# B2 a_151_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 VPWR B1 a_255_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 X a_38_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 X a_38_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_151_47# B1 a_245_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_255_297# B2 a_38_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VPWR a_38_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 a_245_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__o221a_4

not to scale


.subckt sky130_fd_sc_hdll__o221a_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_117_297# B2 a_305_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VPWR A1 a_785_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_27_47# B1 a_307_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_27_47# B2 a_307_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_307_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VPWR C1 a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 a_785_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_305_297# B2 a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_117_297# C1 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_307_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 VPWR B1 a_305_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_117_297# A2 a_785_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_27_47# C1 a_117_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 a_307_47# B2 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_117_297# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 VGND A1 a_307_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 a_307_47# B1 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 VGND A2 a_307_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X25 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 a_305_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X27 a_785_297# A2 a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends