NAME

o21ba from sky130_fd_sc_hdll

DESCRIPTION

2-input OR into first input of 2-input AND, 2nd input inverted.

FUNCTION

X = ((A1 | A2) & !B1_N)

VERILOG

"sky130_fd_sc_hdll__o21ba"
/*
*/


`ifndef SKY130_FD_SC_HDLL__O21BA_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__O21BA_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__o21ba (
    X   ,
    A1  ,
    A2  ,
    B1_N
);

    // Module ports
    output X   ;
    input  A1  ;
    input  A2  ;
    input  B1_N;

    // Local signals
    wire nor0_out  ;
    wire nor1_out_X;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A1, A2         );
    nor nor1 (nor1_out_X, B1_N, nor0_out );
    buf buf0 (X         , nor1_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__O21BA_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__o21ba_1

not to scale



.subckt sky130_fd_sc_hdll__o21ba_1 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_554_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_79_199# A2 a_554_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_79_199# a_222_93# a_460_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 X a_79_199# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VGND B1_N a_222_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR B1_N a_222_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X6 a_460_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 VPWR a_222_93# a_79_199# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 X a_79_199# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VGND A1 a_460_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__o21ba_2

not to scale


.subckt sky130_fd_sc_hdll__o21ba_2 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_27_93# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 X a_186_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_621_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_186_21# A2 a_621_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VGND a_186_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_27_93# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR a_186_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VGND A1 a_518_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 X a_186_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_518_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_186_21# a_27_93# a_518_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 VPWR a_27_93# a_186_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__o21ba_4

not to scale


.subckt sky130_fd_sc_hdll__o21ba_4 A1 A2 B1_N VGND VNB VPB VPWR X
X0 X a_197_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VPWR a_197_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 VGND a_197_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND A2 a_635_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_197_21# a_27_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_27_297# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_635_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_197_21# A2 a_823_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VPWR A1 a_823_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 X a_197_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 X a_197_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_823_297# A2 a_197_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VPWR a_197_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 a_635_47# a_27_297# a_197_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 X a_197_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 a_635_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 VPWR a_27_297# a_197_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_27_297# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 a_823_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 VGND A1 a_635_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 VGND a_197_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 a_197_21# a_27_297# a_635_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends