NAME

nor4bb from sky130_fd_sc_hdll

DESCRIPTION

4-input NOR, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__nor4bb"
/*
*/


`ifndef SKY130_FD_SC_HDLL__NOR4BB_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__NOR4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__nor4bb (
    Y  ,
    A  ,
    B  ,
    C_N,
    D_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B  ;
    input  C_N;
    input  D_N;

    // Local signals
    wire nor0_out  ;
    wire and0_out_Y;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A, B              );
    and and0 (and0_out_Y, nor0_out, C_N, D_N);
    buf buf0 (Y         , and0_out_Y        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__NOR4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__nor4bb_1

not to scale



.subckt sky130_fd_sc_hdll__nor4bb_1 A B C_N D_N VGND VNB VPB VPWR Y
X0 Y a_216_93# a_422_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 Y a_27_410# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_422_297# a_27_410# a_518_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 VGND a_216_93# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VGND D_N a_216_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_622_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_518_297# B a_622_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_27_410# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X10 VPWR D_N a_216_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 a_27_410# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__nor4bb_2

not to scale


.subckt sky130_fd_sc_hdll__nor4bb_2 A B C_N D_N VGND VNB VPB VPWR Y
X0 VGND a_27_93# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 Y a_27_93# a_343_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND C_N a_216_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_216_93# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 Y a_216_93# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_343_297# a_27_93# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 Y a_27_93# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_27_93# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_433_297# B a_823_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 a_27_93# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X13 VPWR C_N a_216_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X14 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 a_433_297# a_216_93# a_343_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_343_297# a_216_93# a_433_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 VPWR A a_823_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 a_823_297# B a_433_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 a_823_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__nor4bb_4

not to scale


.subckt sky130_fd_sc_hdll__nor4bb_4 A B C_N D_N VGND VNB VPB VPWR Y
X0 VPWR A a_1187_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_797_297# a_27_297# a_331_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_797_297# B a_1187_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 Y a_27_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_331_297# a_27_297# a_797_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_27_297# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VGND a_27_297# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Y a_207_47# a_331_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VPWR A a_1187_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 Y a_207_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VGND a_27_297# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_1187_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VGND D_N a_207_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 Y a_207_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 a_27_297# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_331_297# a_27_297# a_797_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 a_1187_297# B a_797_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 a_331_297# a_207_47# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 Y a_27_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 Y a_207_47# a_331_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 a_797_297# B a_1187_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 VGND a_207_47# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 a_1187_297# B a_797_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X28 a_797_297# a_27_297# a_331_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X29 VGND a_207_47# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X31 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X32 a_1187_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X33 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X34 VPWR D_N a_207_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X35 a_331_297# a_207_47# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends