NAME

nor4 from sky130_fd_sc_hdll

DESCRIPTION

4-input NOR.

FUNCTION

Y = !(A | B | C | D)

VERILOG

"sky130_fd_sc_hdll__nor4"
/*
*/


`ifndef SKY130_FD_SC_HDLL__NOR4_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__NOR4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__nor4 (
    Y,
    A,
    B,
    C,
    D
);

    // Module ports
    output Y;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out_Y, A, B, C, D     );
    buf buf0 (Y         , nor0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__NOR4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__nor4_1

not to scale



.subckt sky130_fd_sc_hdll__nor4_1 A B C D VGND VNB VPB VPWR Y
X0 Y D a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_317_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_117_297# C a_221_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_221_297# B a_317_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__nor4_2

not to scale


.subckt sky130_fd_sc_hdll__nor4_2 A B C D VGND VNB VPB VPWR Y
X0 a_515_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_309_297# C a_515_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_309_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_515_297# C a_309_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 Y D a_515_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_27_297# B a_309_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__nor4_4

not to scale


.subckt sky130_fd_sc_hdll__nor4_4 A B C D VGND VNB VPB VPWR Y
X0 a_497_297# C a_887_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_887_297# C a_497_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_887_297# C a_497_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_497_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_887_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 Y D a_887_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_497_297# C a_887_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 a_27_297# B a_497_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 Y D a_887_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 a_887_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X22 a_497_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X23 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X24 a_27_297# B a_497_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X28 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X29 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X31 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__nor4_6

not to scale


.subckt sky130_fd_sc_hdll__nor4_6 A B C D VGND VNB VPB VPWR Y
X0 a_1263_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_1263_297# C a_685_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_685_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 a_685_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 a_1263_297# C a_685_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 a_27_297# B a_685_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 a_685_297# C a_1263_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X23 Y D a_1263_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 Y D a_1263_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 a_685_297# C a_1263_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X28 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X29 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 a_1263_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X31 a_27_297# B a_685_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X32 a_1263_297# C a_685_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X33 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X34 a_685_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X35 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X36 a_27_297# B a_685_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X37 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X38 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X39 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X40 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X41 a_1263_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X42 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X43 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X44 a_685_297# C a_1263_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X45 Y D a_1263_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X46 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X47 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__nor4_8

not to scale


.subckt sky130_fd_sc_hdll__nor4_8 A B C D VGND VNB VPB VPWR Y
X0 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_1635_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_869_297# C a_1635_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_869_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_27_297# B a_869_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 Y D a_1635_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_1635_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 a_1635_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X23 a_869_297# C a_1635_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X25 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X28 a_1635_297# C a_869_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X29 a_1635_297# C a_869_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X30 a_27_297# B a_869_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X31 Y D a_1635_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X32 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X33 a_869_297# C a_1635_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X34 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X35 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X36 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X37 a_27_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X38 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X39 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X40 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X41 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X42 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X43 Y D a_1635_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X44 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X45 a_869_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X46 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X47 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X48 a_27_297# B a_869_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X49 a_869_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X50 a_869_297# C a_1635_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X51 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X52 a_869_297# B a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X53 a_27_297# B a_869_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X54 a_1635_297# C a_869_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X55 Y D a_1635_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X56 a_1635_297# C a_869_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X57 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X58 a_1635_297# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X59 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X60 VPWR A a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X61 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X62 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X63 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends