NAME

nand4 from sky130_fd_sc_hdll

DESCRIPTION

4-input NAND.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__nand4"
/*
*/


`ifndef SKY130_FD_SC_HDLL__NAND4_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__NAND4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__nand4 (
    Y,
    A,
    B,
    C,
    D
);

    // Module ports
    output Y;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out_Y, D, C, B, A     );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__NAND4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__nand4_1

not to scale



.subckt sky130_fd_sc_hdll__nand4_1 A B C D VGND VNB VPB VPWR Y
X0 a_213_47# B a_297_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_119_47# C a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND D a_119_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 a_297_47# A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__nand4_2

not to scale


.subckt sky130_fd_sc_hdll__nand4_2 A B C D VGND VNB VPB VPWR Y
X0 a_511_47# A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 a_27_47# C a_297_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_297_47# B a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VGND D a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_297_47# C a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_27_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 a_511_47# B a_297_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 Y A a_511_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__nand4_4

not to scale


.subckt sky130_fd_sc_hdll__nand4_4 A B C D VGND VNB VPB VPWR Y
X0 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_27_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_485_47# C a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_883_47# A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_485_47# B a_883_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_883_47# A Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_485_47# B a_883_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_27_47# C a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 VGND D a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 a_27_47# C a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 VGND D a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 a_27_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 a_883_47# B a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 Y A a_883_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X25 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X26 a_883_47# B a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X28 Y A a_883_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X29 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X30 a_485_47# C a_27_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X31 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends