NAME

isobufsrc from sky130_fd_sc_hdll

DESCRIPTION

Input isolation, noninverted sleep.

FUNCTION

X = (!A | SLEEP)

VERILOG

"sky130_fd_sc_hdll__isobufsrc"
/*
*/


`ifndef SKY130_FD_SC_HDLL__ISOBUFSRC_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__ISOBUFSRC_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__isobufsrc (
    X    ,
    SLEEP,
    A
);

    // Module ports
    output X    ;
    input  SLEEP;
    input  A    ;

    // Local signals
    wire not0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    not not0 (not0_out  , SLEEP          );
    and and0 (and0_out_X, not0_out, A    );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__ISOBUFSRC_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__isobufsrc_1

not to scale



.subckt sky130_fd_sc_hdll__isobufsrc_1 A SLEEP VGND VNB VPB VPWR X
X0 a_74_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 a_283_297# a_74_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_74_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 X a_74_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VPWR SLEEP a_283_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__isobufsrc_2

not to scale


.subckt sky130_fd_sc_hdll__isobufsrc_2 A SLEEP VGND VNB VPB VPWR X
X0 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 a_271_21# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 X a_271_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_27_297# SLEEP VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 X a_271_21# a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_271_21# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X7 VGND a_271_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_27_297# a_271_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR SLEEP a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__isobufsrc_4

not to scale


.subckt sky130_fd_sc_hdll__isobufsrc_4 A SLEEP VGND VNB VPB VPWR X
X0 X a_459_21# a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VGND a_459_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND a_459_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_459_21# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_27_297# SLEEP VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_27_297# a_459_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR SLEEP a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 X a_459_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 X a_459_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 X a_459_21# a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 a_27_297# a_459_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 a_27_297# SLEEP VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_459_21# A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 VPWR SLEEP a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__isobufsrc_8

not to scale


.subckt sky130_fd_sc_hdll__isobufsrc_8 A SLEEP VGND VNB VPB VPWR X
X0 a_345_297# a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 X SLEEP a_345_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VPWR a_117_297# a_345_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 a_345_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 VPWR A a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR a_117_297# a_345_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_345_297# a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_345_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 a_117_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 X SLEEP a_345_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_117_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 VPWR a_117_297# a_345_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 X SLEEP a_345_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 VPWR a_117_297# a_345_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 a_345_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X26 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X28 a_345_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X29 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X31 X SLEEP a_345_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X32 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X33 a_345_297# a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X34 VGND A a_117_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X35 a_345_297# a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__isobufsrc_16

not to scale


.subckt sky130_fd_sc_hdll__isobufsrc_16 A SLEEP VGND VNB VPB VPWR X
X0 VPWR a_151_297# a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 X SLEEP a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND a_151_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_585_297# a_151_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_585_297# a_151_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 X SLEEP a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VGND a_151_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VPWR A a_151_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 VPWR a_151_297# a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 X a_151_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_585_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 a_585_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_585_297# a_151_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_585_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 VGND a_151_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 VPWR A a_151_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 VGND A a_151_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 VGND a_151_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X24 a_585_297# a_151_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 X a_151_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 VPWR a_151_297# a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X27 a_585_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X28 X SLEEP a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X29 VGND a_151_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 VGND a_151_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X31 X SLEEP a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X32 a_585_297# a_151_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X33 X a_151_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X34 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X35 X SLEEP a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X36 a_151_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X37 VPWR a_151_297# a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X38 VGND A a_151_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X39 X a_151_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X40 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X41 X SLEEP a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X42 a_585_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X43 VPWR a_151_297# a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X44 a_151_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X45 X a_151_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X46 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X47 a_585_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X48 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X49 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X50 a_151_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X51 a_585_297# a_151_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X52 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X53 VPWR a_151_297# a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X54 a_585_297# a_151_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X55 X a_151_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X56 X a_151_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X57 a_585_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X58 a_585_297# SLEEP X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X59 a_151_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X60 VGND a_151_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X61 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X62 X SLEEP a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X63 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X64 X SLEEP a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X65 X a_151_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X66 a_585_297# a_151_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X67 VGND a_151_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X68 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X69 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X70 VPWR a_151_297# a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X71 VPWR a_151_297# a_585_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends