NAME

inputiso1p from sky130_fd_sc_hdll

DESCRIPTION

Input isolation, noninverted sleep.

FUNCTION

X = (A & !SLEEP)

VERILOG

"sky130_fd_sc_hdll__inputiso1p"
/*
*/


`ifndef SKY130_FD_SC_HDLL__INPUTISO1P_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__INPUTISO1P_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__inputiso1p (
    X    ,
    A    ,
    SLEEP
);

    // Module ports
    output X    ;
    input  A    ;
    input  SLEEP;

    //  Name  Output  Other arguments
    or  or0  (X     , A, SLEEP       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__INPUTISO1P_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__inputiso1p_1

not to scale



.subckt sky130_fd_sc_hdll__inputiso1p_1 A SLEEP VGND VNB VPB VPWR X
X0 a_44_297# SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_134_297# SLEEP VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 a_44_297# A a_134_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 VGND a_44_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VPWR a_44_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND A a_44_297# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends