NAME

einvp from sky130_fd_sc_hdll

DESCRIPTION

Tri-state inverter, positive enable.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__einvp"
/*
*/


`ifndef SKY130_FD_SC_HDLL__EINVP_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__EINVP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__einvp (
    Z ,
    A ,
    TE
);

    // Module ports
    output Z ;
    input  A ;
    input  TE;

    //     Name     Output  Other arguments
    notif1 notif10 (Z     , A, TE          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__EINVP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__einvp_1

not to scale



.subckt sky130_fd_sc_hdll__einvp_1 A TE VGND VNB VPB VPWR Z
X0 a_27_47# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 a_204_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VGND TE a_204_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_332_297# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VPWR a_27_47# a_332_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_27_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__einvp_2

not to scale


.subckt sky130_fd_sc_hdll__einvp_2 A TE VGND VNB VPB VPWR Z
X0 a_27_47# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X1 VGND TE a_214_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 Z A a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_235_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 Z A a_214_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 VPWR a_27_47# a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X6 a_235_309# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X7 a_214_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_27_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_214_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__einvp_4

not to scale


.subckt sky130_fd_sc_hdll__einvp_4 A TE VGND VNB VPB VPWR Z
X0 Z A a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_27_47# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 VPWR a_27_47# a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X3 VGND TE a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 Z A a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_235_309# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X6 VGND TE a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Z A a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_235_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_27_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_213_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_213_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 VPWR a_27_47# a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X13 a_235_309# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X14 a_213_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 Z A a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_235_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_213_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__einvp_8

not to scale


.subckt sky130_fd_sc_hdll__einvp_8 A TE VGND VNB VPB VPWR Z
X0 Z A a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_213_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 Z A a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VPWR a_27_47# a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X4 a_213_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_27_47# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 Z A a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 a_213_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 Z A a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VPWR a_27_47# a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X10 a_235_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_213_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_235_309# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X13 VGND TE a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 Z A a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 a_235_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_213_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 a_235_309# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X18 Z A a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 VGND TE a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 VPWR a_27_47# a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X21 a_213_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 VGND TE a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 Z A a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 VPWR a_27_47# a_235_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X25 a_235_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X26 Z A a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 a_235_309# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X28 VGND TE a_213_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X29 a_27_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 a_213_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X31 a_235_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X32 a_235_309# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X33 a_213_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends