NAME

einvn from sky130_fd_sc_hdll

DESCRIPTION

Tri-state inverter, negative enable.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__einvn"
/*
*/


`ifndef SKY130_FD_SC_HDLL__EINVN_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__EINVN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__einvn (
    Z   ,
    A   ,
    TE_B
);

    // Module ports
    output Z   ;
    input  A   ;
    input  TE_B;

    //     Name     Output  Other arguments
    notif0 notif00 (Z     , A, TE_B        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__EINVN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__einvn_1

not to scale



.subckt sky130_fd_sc_hdll__einvn_1 A TE_B VGND VNB VPB VPWR Z
X0 a_27_47# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X1 VGND a_27_47# a_316_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_316_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_222_297# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VPWR TE_B a_222_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_27_47# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__einvn_2

not to scale


.subckt sky130_fd_sc_hdll__einvn_2 A TE_B VGND VNB VPB VPWR Z
X0 a_27_47# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X1 Z A a_234_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_222_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X3 VPWR TE_B a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X4 a_222_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_27_47# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_27_47# a_234_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_234_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 Z A a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_234_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__einvn_4

not to scale


.subckt sky130_fd_sc_hdll__einvn_4 A TE_B VGND VNB VPB VPWR Z
X0 Z A a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_27_47# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_222_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X3 VGND a_27_47# a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 Z A a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 VPWR TE_B a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X6 Z A a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_222_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_222_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X9 a_235_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VGND a_27_47# a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_235_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_27_47# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_235_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 Z A a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 VPWR TE_B a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X16 a_222_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_235_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__einvn_8

not to scale


.subckt sky130_fd_sc_hdll__einvn_8 A TE_B VGND VNB VPB VPWR Z
X0 a_235_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 Z A a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_235_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND a_27_47# a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VPWR TE_B a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X5 Z A a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 a_222_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X7 a_27_47# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 Z A a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 Z A a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_222_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X11 a_222_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VPWR TE_B a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X13 Z A a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_222_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 a_235_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 VGND a_27_47# a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 Z A a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 Z A a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 VPWR TE_B a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X20 a_27_47# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 VGND a_27_47# a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 a_222_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X23 Z A a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 a_222_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 a_222_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X26 a_235_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 a_235_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X28 a_222_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X29 a_235_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 VGND a_27_47# a_235_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X31 a_235_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X32 a_235_47# A Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X33 VPWR TE_B a_222_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
.ends