NAME

ebufn from sky130_fd_sc_hdll

DESCRIPTION

Tri-state buffer, negative enable.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__ebufn"
/*
*/


`ifndef SKY130_FD_SC_HDLL__EBUFN_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__EBUFN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__ebufn (
    Z   ,
    A   ,
    TE_B
);

    // Module ports
    output Z   ;
    input  A   ;
    input  TE_B;

    //     Name     Output  Other arguments
    bufif0 bufif00 (Z     , A, TE_B        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__EBUFN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__ebufn_1

not to scale



.subckt sky130_fd_sc_hdll__ebufn_1 A TE_B VGND VNB VPB VPWR Z
X0 a_27_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X1 VPWR TE_B a_411_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 VGND a_211_369# a_543_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_543_47# a_27_47# Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_411_297# a_27_47# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VPWR TE_B a_211_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X6 a_27_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND TE_B a_211_369# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__ebufn_2

not to scale


.subckt sky130_fd_sc_hdll__ebufn_2 A TE_B VGND VNB VPB VPWR Z
X0 a_27_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X1 a_412_47# a_224_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_412_47# a_27_47# Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VPWR TE_B a_340_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X4 VPWR TE_B a_224_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X5 a_340_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X6 Z a_27_47# a_412_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Z a_27_47# a_340_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_340_309# a_27_47# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_27_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND TE_B a_224_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND a_224_47# a_412_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__ebufn_4

not to scale


.subckt sky130_fd_sc_hdll__ebufn_4 A TE_B VGND VNB VPB VPWR Z
X0 a_340_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X1 VPWR TE_B a_340_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X2 a_27_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 Z a_27_47# a_413_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VPWR TE_B a_224_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_413_47# a_27_47# Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 Z a_27_47# a_340_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 Z a_27_47# a_340_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_340_309# a_27_47# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VGND a_224_47# a_413_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_340_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X11 VGND a_224_47# a_413_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_413_47# a_27_47# Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 VPWR TE_B a_340_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X14 a_340_309# a_27_47# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 a_27_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 VGND TE_B a_224_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 Z a_27_47# a_413_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 a_413_47# a_224_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_413_47# a_224_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__ebufn_8

not to scale


.subckt sky130_fd_sc_hdll__ebufn_8 A TE_B VGND VNB VPB VPWR Z
X0 a_437_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X1 a_437_309# a_124_297# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_124_297# A VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 Z a_124_297# a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 Z a_124_297# a_437_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_485_47# a_321_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VGND a_321_47# a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Z a_124_297# a_437_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 Z a_124_297# a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VPWR TE_B a_437_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X10 VGND A a_124_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_485_47# a_124_297# Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_485_47# a_321_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_124_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 a_485_47# a_124_297# Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 a_437_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X16 a_437_309# a_124_297# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 VGND TE_B a_321_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 a_485_47# a_321_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 Z a_124_297# a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 VPWR TE_B a_321_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 a_437_309# a_124_297# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X22 a_485_47# a_124_297# Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 VPWR TE_B a_437_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X24 VPWR A a_124_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 a_437_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X26 a_485_47# a_321_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 VPWR TE_B a_437_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X28 Z a_124_297# a_437_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X29 a_485_47# a_124_297# Z VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X30 Z a_124_297# a_437_309# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X31 Z a_124_297# a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X32 a_437_309# a_124_297# Z VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X33 VGND a_321_47# a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X34 VGND a_321_47# a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X35 a_437_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X36 VGND a_321_47# a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X37 VPWR TE_B a_437_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
.ends