NAME

diode from sky130_fd_sc_hdll

DESCRIPTION

Antenna tie-down diode.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__diode"
/*
*/


`ifndef SKY130_FD_SC_HDLL__DIODE_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__DIODE_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__diode (
    DIODE
);

    // Module ports
    input DIODE;
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__DIODE_FUNCTIONAL_V

SPICE

sky130_fd_sc_hdll__diode_2

not to scale


.subckt sky130_fd_sc_hdll__diode_2 DIODE VGND VNB VPB VPWR
X0 VNB DIODE sky130_fd_pr__diode_pw2nd p=5.36e+06u a=4.347e+11p
.ends

sky130_fd_sc_hdll__diode_4

not to scale


.subckt sky130_fd_sc_hdll__diode_4 DIODE VGND VNB VPB VPWR
X0 VNB DIODE sky130_fd_pr__diode_pw2nd p=9.88e+06u a=1.0557e+12p
.ends

sky130_fd_sc_hdll__diode_6

not to scale


.subckt sky130_fd_sc_hdll__diode_6 DIODE VGND VNB VPB VPWR
X0 VNB DIODE sky130_fd_pr__diode_pw2nd p=1.47e+07u a=2.2032e+12p
.ends

sky130_fd_sc_hdll__diode_8

not to scale


.subckt sky130_fd_sc_hdll__diode_8 DIODE VGND VNB VPB VPWR
X0 VNB DIODE sky130_fd_pr__diode_pw2nd p=1.858e+07u a=3.5464e+12p
.ends