NAME

dfstp from sky130_fd_sc_hdll

DESCRIPTION

Delay flop, inverted set, single output.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__dfstp"
/*
*/


`ifndef SKY130_FD_SC_HDLL__DFSTP_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__DFSTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_ps/sky130_fd_sc_hdll__udp_dff_ps.v"

`celldefine
module sky130_fd_sc_hdll__dfstp (
    Q    ,
    CLK  ,
    D    ,
    SET_B
);

    // Module ports
    output Q    ;
    input  CLK  ;
    input  D    ;
    input  SET_B;

    // Local signals
    wire buf_Q;
    wire SET  ;

    //                            Delay       Name  Output  Other arguments
    not                                       not0 (SET   , SET_B          );
    sky130_fd_sc_hdll__udp_dff$PS `UNIT_DELAY dff0 (buf_Q , D, CLK, SET    );
    buf                                       buf0 (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__DFSTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__dfstp_1

not to scale



.subckt sky130_fd_sc_hdll__dfstp_1 CLK D SET_B VGND VNB VPB VPWR Q
X0 a_1126_413# a_27_47# a_1344_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR SET_B a_702_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 VPWR a_506_47# a_1044_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 a_1244_413# a_1288_261# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X4 VGND SET_B a_866_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_1344_47# a_1288_261# a_1416_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_1126_413# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X7 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X8 a_636_47# a_702_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR D a_409_329# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X10 VPWR a_1126_413# a_1288_261# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X11 VGND D a_409_329# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_1738_47# a_1126_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X13 a_506_47# a_211_363# a_636_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X14 VGND a_27_47# a_211_363# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1156_47# a_211_363# a_1126_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_506_47# a_27_47# a_610_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X17 a_610_413# a_702_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X18 a_1126_413# a_211_363# a_1244_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X19 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_1044_413# a_27_47# a_1126_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X21 VGND a_1738_47# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 a_409_329# a_27_47# a_506_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X23 VPWR a_27_47# a_211_363# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X24 a_702_21# a_506_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X25 a_409_329# a_211_363# a_506_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X26 a_866_47# a_506_47# a_702_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_1416_47# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 a_1738_47# a_1126_413# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 VGND a_1126_413# a_1288_261# VNB sky130_fd_pr__nfet_01v8 w=540000u l=150000u
X30 VGND a_506_47# a_1156_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 VPWR a_1738_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__dfstp_2

not to scale


.subckt sky130_fd_sc_hdll__dfstp_2 CLK D SET_B VGND VNB VPB VPWR Q
X0 a_1126_413# a_27_47# a_1344_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR SET_B a_702_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 VPWR a_506_47# a_1044_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 a_1244_413# a_1288_261# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X4 Q a_1738_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND a_1738_47# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VGND SET_B a_866_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_1344_47# a_1288_261# a_1416_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_1126_413# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X9 VPWR a_1738_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X11 a_636_47# a_702_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR D a_409_329# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X13 VPWR a_1126_413# a_1288_261# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X14 VGND D a_409_329# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X15 a_506_47# a_211_363# a_636_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X16 VGND a_27_47# a_211_363# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_1156_47# a_211_363# a_1126_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_506_47# a_27_47# a_610_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X19 a_610_413# a_702_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X20 a_1126_413# a_211_363# a_1244_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X21 a_1738_47# a_1126_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X22 Q a_1738_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_1044_413# a_27_47# a_1126_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X25 a_409_329# a_27_47# a_506_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X26 VPWR a_27_47# a_211_363# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X27 a_702_21# a_506_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X28 a_409_329# a_211_363# a_506_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X29 a_866_47# a_506_47# a_702_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1416_47# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_1738_47# a_1126_413# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X32 VGND a_1126_413# a_1288_261# VNB sky130_fd_pr__nfet_01v8 w=540000u l=150000u
X33 VGND a_506_47# a_1156_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__dfstp_4

not to scale


.subckt sky130_fd_sc_hdll__dfstp_4 CLK D SET_B VGND VNB VPB VPWR Q
X0 a_1126_413# a_27_47# a_1344_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR SET_B a_702_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 VPWR a_506_47# a_1044_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 a_1244_413# a_1288_261# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X4 Q a_1738_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VGND SET_B a_866_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_1344_47# a_1288_261# a_1416_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_1738_47# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_1126_413# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X9 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X10 a_636_47# a_702_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND a_1738_47# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 VPWR D a_409_329# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X13 VPWR a_1126_413# a_1288_261# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X14 Q a_1738_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 VGND D a_409_329# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 a_1738_47# a_1126_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X17 a_506_47# a_211_363# a_636_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X18 VGND a_27_47# a_211_363# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_1156_47# a_211_363# a_1126_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR a_1738_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 a_506_47# a_27_47# a_610_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X22 a_610_413# a_702_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X23 a_1126_413# a_211_363# a_1244_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X24 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VGND a_1738_47# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 a_1044_413# a_27_47# a_1126_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X27 a_409_329# a_27_47# a_506_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X28 VPWR a_27_47# a_211_363# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X29 a_702_21# a_506_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X30 a_409_329# a_211_363# a_506_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X31 a_866_47# a_506_47# a_702_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_1416_47# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 a_1738_47# a_1126_413# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VGND a_1126_413# a_1288_261# VNB sky130_fd_pr__nfet_01v8 w=540000u l=150000u
X35 Q a_1738_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X36 VGND a_506_47# a_1156_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X37 Q a_1738_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X38 VPWR a_1738_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X39 VPWR a_1738_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends