NAME

dfrtp from sky130_fd_sc_hdll

DESCRIPTION

Delay flop, inverted reset, single output.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__dfrtp"
/*
*/


`ifndef SKY130_FD_SC_HDLL__DFRTP_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__DFRTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_pr/sky130_fd_sc_hdll__udp_dff_pr.v"

`celldefine
module sky130_fd_sc_hdll__dfrtp (
    Q      ,
    CLK    ,
    D      ,
    RESET_B
);

    // Module ports
    output Q      ;
    input  CLK    ;
    input  D      ;
    input  RESET_B;

    // Local signals
    wire buf_Q;
    wire RESET;

    //                            Delay       Name  Output  Other arguments
    not                                       not0 (RESET , RESET_B        );
    sky130_fd_sc_hdll__udp_dff$PR `UNIT_DELAY dff0 (buf_Q , D, CLK, RESET  );
    buf                                       buf0 (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__DFRTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__dfrtp_1

not to scale



.subckt sky130_fd_sc_hdll__dfrtp_1 CLK D RESET_B VGND VNB VPB VPWR Q
X0 a_436_413# a_211_363# a_534_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 a_1330_413# a_1323_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 VPWR a_1323_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_1237_47# a_1323_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR RESET_B a_649_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X5 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X6 a_436_413# a_27_47# a_534_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X7 a_649_413# a_751_289# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X8 a_1128_47# a_211_363# a_1330_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X9 a_534_47# a_211_363# a_642_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X10 a_751_289# a_211_363# a_1128_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X11 VGND a_27_47# a_211_363# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_751_289# a_27_47# a_1128_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X13 VGND D a_436_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 VPWR D a_436_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X15 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_805_47# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_1128_47# a_27_47# a_1237_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X18 VPWR a_27_47# a_211_363# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X19 VPWR a_534_47# a_751_289# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X20 VPWR RESET_B a_1323_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X21 VGND a_534_47# a_751_289# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_1323_21# a_1128_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X23 VGND a_1323_21# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X24 a_1542_47# a_1128_47# a_1323_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_534_47# a_27_47# a_649_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X26 VGND RESET_B a_1542_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_642_47# a_751_289# a_805_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__dfrtp_2

not to scale


.subckt sky130_fd_sc_hdll__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q
X0 a_436_413# a_211_363# a_534_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 Q a_1323_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_1330_413# a_1323_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 VPWR a_1323_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_1237_47# a_1323_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR RESET_B a_649_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X6 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X7 a_436_413# a_27_47# a_534_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X8 Q a_1323_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_649_413# a_751_289# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X10 a_1128_47# a_211_363# a_1330_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 a_534_47# a_211_363# a_642_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X12 a_751_289# a_211_363# a_1128_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X13 VGND a_27_47# a_211_363# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_751_289# a_27_47# a_1128_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X15 VGND D a_436_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VPWR D a_436_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X17 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_805_47# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_1128_47# a_27_47# a_1237_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X20 VPWR a_27_47# a_211_363# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X21 VPWR a_534_47# a_751_289# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X22 VPWR RESET_B a_1323_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X23 VGND a_534_47# a_751_289# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 a_1323_21# a_1128_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X25 a_1542_47# a_1128_47# a_1323_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_534_47# a_27_47# a_649_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X27 VGND RESET_B a_1542_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 a_642_47# a_751_289# a_805_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 VGND a_1323_21# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__dfrtp_4

not to scale


.subckt sky130_fd_sc_hdll__dfrtp_4 CLK D RESET_B VGND VNB VPB VPWR Q
X0 a_1612_47# a_1188_47# a_1403_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_1403_21# a_1188_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 a_865_47# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_1188_47# a_27_47# a_1317_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X4 a_27_47# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X5 a_1317_47# a_1403_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR a_1403_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 Q a_1403_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 VPWR RESET_B a_699_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X9 a_1188_47# a_211_363# a_1388_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X10 Q a_1403_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 VGND a_27_47# a_211_363# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR RESET_B a_1403_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X13 a_699_413# a_811_289# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X14 a_689_47# a_811_289# a_865_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_811_289# a_27_47# a_1188_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X16 a_583_47# a_211_363# a_689_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X17 VPWR D a_468_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X18 a_27_47# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_468_47# a_27_47# a_583_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X20 VPWR a_1403_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 VGND RESET_B a_1612_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 Q a_1403_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 VPWR a_27_47# a_211_363# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X24 a_1388_413# a_1403_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X25 a_811_289# a_211_363# a_1188_47# VNB sky130_fd_pr__nfet_01v8 w=360000u l=150000u
X26 VGND a_583_47# a_811_289# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 VGND a_1403_21# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X28 a_583_47# a_27_47# a_699_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X29 VGND D a_468_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_468_47# a_211_363# a_583_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X31 VPWR a_583_47# a_811_289# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=180000u
X32 Q a_1403_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X33 VGND a_1403_21# Q VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends